diff --git a/scripts/logs/build_caravan.log b/scripts/logs/build_caravan.log new file mode 100644 index 00000000..22091de7 --- /dev/null +++ b/scripts/logs/build_caravan.log @@ -0,0 +1,1859 @@ +Step 1: Create new cells for new GPIO default vectors. +Layout file /home/passant/caravel/mag/gpio_defaults_block_1803.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_1803.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0801.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Layout file /home/passant/caravel/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated. +Gate-level verilog file /home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated. +Step 2: Modify top-level layouts to use the specified defaults. +Done. + +Magic 8.3 revision 328 - Compiled on Mon Oct 3 14:33:08 PDT 2022. +Starting magic under Tcl interpreter +Using the terminal as the console. +Using NULL graphics device. +Processing system .magicrc file +Sourcing design .magicrc for technology sky130A ... +2 Magic internal units = 1 Lambda +Input style sky130(vendor): scaleFactor=2, multiplier=2 +The following types are not handled by extraction and will be treated as non-electrical types: + ubm +Scaled tech values by 2 / 1 to match internal grid scaling +Loading sky130A Device Generator Menu ... +Loading "tech-files/build.tcl" from command line. +Scaled magic input cell user_project_wrapper geometry by factor of 2 +user_project_wrapper: 10000 rects +user_project_wrapper: 20000 rects +user_project_wrapper: 30000 rects +user_project_wrapper: 40000 rects +user_project_wrapper: 50000 rects +Scaled magic input cell user_id_textblock geometry by factor of 2 +caravan: 10000 rects +caravan: 20000 rects +caravan: 30000 rects +caravan: 40000 rects +caravan: 50000 rects +caravan: 60000 rects +caravan: 70000 rects +Processing timestamp mismatches: simple_por. +Scaled magic input cell caravan_motto geometry by factor of 2 +Scaled magic input cell font_22 geometry by factor of 24 +Scaled magic input cell font_64 geometry by factor of 24 +Scaled magic input cell font_61 geometry by factor of 24 +Scaled magic input cell font_6F geometry by factor of 24 +Scaled magic input cell font_52 geometry by factor of 24 +Scaled magic input cell font_6E geometry by factor of 24 +Scaled magic input cell font_65 geometry by factor of 24 +Scaled magic input cell font_70 geometry by factor of 24 +Scaled magic input cell font_4F geometry by factor of 24 +Scaled magic input cell font_68 geometry by factor of 24 +Scaled magic input cell font_54 geometry by factor of 24 +Scaled magic input cell font_67 geometry by factor of 24 +Scaled magic input cell font_69 geometry by factor of 24 +Scaled magic input cell font_76 geometry by factor of 24 +Scaled magic input cell font_72 geometry by factor of 24 +Scaled magic input cell font_44 geometry by factor of 24 +Scaled magic input cell font_79 geometry by factor of 24 +Scaled magic input cell font_74 geometry by factor of 24 +Scaled magic input cell font_73 geometry by factor of 24 +Scaled magic input cell font_66 geometry by factor of 24 +Scaled magic input cell font_63 geometry by factor of 24 +Scaled magic input cell font_62 geometry by factor of 24 +Scaled magic input cell font_57 geometry by factor of 24 +Scaled magic input cell font_56 geometry by factor of 24 +Scaled magic input cell font_53 geometry by factor of 24 +Scaled magic input cell font_50 geometry by factor of 24 +Scaled magic input cell font_47 geometry by factor of 24 +Scaled magic input cell font_43 geometry by factor of 24 +Scaled magic input cell font_34 geometry by factor of 24 +Scaled magic input cell font_32 geometry by factor of 24 +Scaled magic input cell font_30 geometry by factor of 24 +Scaled magic input cell font_29 geometry by factor of 24 +Scaled magic input cell font_28 geometry by factor of 24 +Scaled magic input cell font_20 geometry by factor of 24 +Scaled magic input cell font_6C geometry by factor of 24 +Scaled magic input cell font_6B geometry by factor of 24 +Scaled magic input cell font_4B geometry by factor of 24 +Scaled magic input cell font_2D geometry by factor of 24 +Scaled magic input cell open_source geometry by factor of 2 +Scaled magic input cell alpha_0 geometry by factor of 2 +caravel_clocking: 10000 rects +caravel_clocking: 20000 rects +caravel_clocking: 30000 rects +housekeeping: 10000 rects +housekeeping: 20000 rects +housekeeping: 30000 rects +housekeeping: 40000 rects +housekeeping: 50000 rects +housekeeping: 60000 rects +housekeeping: 70000 rects +housekeeping: 80000 rects +housekeeping: 90000 rects +housekeeping: 100000 rects +housekeeping: 110000 rects +housekeeping: 120000 rects +housekeeping: 130000 rects +housekeeping: 140000 rects +housekeeping: 150000 rects +housekeeping: 160000 rects +housekeeping: 170000 rects +housekeeping: 180000 rects +housekeeping: 190000 rects +housekeeping: 200000 rects +housekeeping: 210000 rects +housekeeping: 220000 rects +housekeeping: 230000 rects +housekeeping: 240000 rects +housekeeping: 250000 rects +housekeeping: 260000 rects +housekeeping: 270000 rects +housekeeping: 280000 rects +housekeeping: 290000 rects +housekeeping: 300000 rects +housekeeping: 310000 rects +housekeeping: 320000 rects +housekeeping: 330000 rects +housekeeping: 340000 rects +housekeeping: 350000 rects +housekeeping: 360000 rects +housekeeping: 370000 rects +housekeeping: 380000 rects +housekeeping: 390000 rects +housekeeping: 400000 rects +housekeeping: 410000 rects +housekeeping: 420000 rects +housekeeping: 430000 rects +housekeeping: 440000 rects +housekeeping: 450000 rects +housekeeping: 460000 rects +housekeeping: 470000 rects +housekeeping: 480000 rects +housekeeping: 490000 rects +housekeeping: 500000 rects +housekeeping: 510000 rects +housekeeping: 520000 rects +digital_pll: 10000 rects +digital_pll: 20000 rects +mgmt_protect: 10000 rects +mgmt_protect: 20000 rects +mgmt_protect: 30000 rects +mgmt_protect: 40000 rects +mgmt_protect: 50000 rects +mgmt_protect: 60000 rects +mgmt_protect: 70000 rects +mgmt_protect: 80000 rects +mgmt_protect: 90000 rects +mgmt_protect: 100000 rects +mgmt_protect: 110000 rects +mgmt_protect: 120000 rects +mgmt_protect: 130000 rects +mgmt_protect: 140000 rects +mgmt_protect: 150000 rects +mgmt_protect: 160000 rects +mgmt_protect: 170000 rects +mgmt_protect: 180000 rects +mgmt_protect: 190000 rects +mgmt_protect: 200000 rects +mgmt_protect: 210000 rects +mgmt_protect: 220000 rects +mgmt_protect: 230000 rects +mgmt_protect: 240000 rects +mgmt_protect: 250000 rects +mgmt_protect: 260000 rects +mgmt_protect: 270000 rects +mgmt_protect: 280000 rects +mgmt_protect: 290000 rects +mgmt_protect: 300000 rects +mgmt_protect: 310000 rects +mgmt_protect: 320000 rects +mgmt_protect: 330000 rects +mgmt_protect: 340000 rects +mgmt_protect: 350000 rects +mgmt_protect: 360000 rects +mgmt_protect: 370000 rects +mgmt_protect: 380000 rects +mgmt_protect: 390000 rects +mgmt_protect: 400000 rects +Scaled magic input cell user_analog_project_wrapper geometry by factor of 2 +sky130_fd_io__corner_bus_overlay: 10000 rects +sky130_fd_io__top_ground_hvc_wpad: 10000 rects +sky130_fd_io__top_ground_hvc_wpad: 20000 rects +sky130_fd_io__top_ground_hvc_wpad: 30000 rects +sky130_fd_io__top_ground_hvc_wpad: 40000 rects +sky130_fd_io__top_ground_hvc_wpad: 50000 rects +sky130_fd_io__top_ground_hvc_wpad: 60000 rects +sky130_fd_io__top_ground_hvc_wpad: 70000 rects +sky130_fd_io__top_ground_hvc_wpad: 80000 rects +sky130_fd_io__top_ground_hvc_wpad: 90000 rects +sky130_fd_io__top_ground_hvc_wpad: 100000 rects +sky130_fd_io__top_ground_hvc_wpad: 110000 rects +sky130_fd_io__top_ground_hvc_wpad: 120000 rects +sky130_fd_io__top_ground_hvc_wpad: 130000 rects +sky130_fd_io__top_ground_hvc_wpad: 140000 rects +sky130_fd_io__top_ground_hvc_wpad: 150000 rects +sky130_fd_io__top_ground_hvc_wpad: 160000 rects +sky130_fd_io__top_ground_hvc_wpad: 170000 rects +sky130_fd_io__top_ground_hvc_wpad: 180000 rects +sky130_fd_io__top_ground_hvc_wpad: 190000 rects +sky130_fd_io__top_ground_hvc_wpad: 200000 rects +sky130_fd_io__top_ground_hvc_wpad: 210000 rects +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180851 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808336 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808666 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808678 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808679 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180839 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808671 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808672 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808663 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808660 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808675 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808676 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808662 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808661 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_559591418084 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__genrivetdlring__example_559591418082 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__gendlring__example_559591418081 geometry by factor of 2 +sky130_fd_io__top_xres4v2: 10000 rects +sky130_fd_io__top_xres4v2: 20000 rects +sky130_fd_io__top_xres4v2: 30000 rects +sky130_fd_io__top_xres4v2: 40000 rects +sky130_fd_io__top_xres4v2: 50000 rects +sky130_fd_io__top_xres4v2: 60000 rects +sky130_fd_io__top_xres4v2: 70000 rects +sky130_fd_io__top_xres4v2: 80000 rects +sky130_fd_io__top_xres4v2: 90000 rects +sky130_fd_io__top_xres4v2: 100000 rects +sky130_fd_io__top_xres4v2: 110000 rects +sky130_fd_io__top_xres4v2: 120000 rects +sky130_fd_io__top_xres4v2: 130000 rects +sky130_fd_io__top_xres4v2: 140000 rects +sky130_fd_io__top_xres4v2: 150000 rects +sky130_fd_io__top_xres4v2: 160000 rects +sky130_fd_io__top_xres4v2: 170000 rects +sky130_fd_io__top_xres4v2: 180000 rects +sky130_fd_io__top_xres4v2: 190000 rects +sky130_fd_io__top_xres4v2: 200000 rects +sky130_fd_io__top_xres4v2: 210000 rects +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808719 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808765 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808717 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808243 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180890 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808264 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180858 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180833 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_55959141808288 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_5595914180859 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_55959141808289 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808274 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808728 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808727 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808726 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808725 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808724 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808554 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808553 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808552 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808551 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808402 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808350 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808261 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808260 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808259 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808400 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808372 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808326 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808290 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808128 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808127 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180897 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180819 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808147 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808157 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808156 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808155 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808154 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808153 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808152 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808151 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808150 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808149 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808148 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808158 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_559591418083 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808137 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418086 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808370 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808316 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808385 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418088 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808612 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180848 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180849 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m2m3__example_55959141808714 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418081 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em2s_cdns_55959141808652 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em2o_cdns_55959141808653 geometry by factor of 2 +sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 10000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 20000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 30000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 40000 rects +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180812 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180811 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418086 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418084 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808659 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__pfet_01v8__example_55959141808657 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808202 geometry by factor of 2 +sky130_fd_io__xres2v2_rcfilter_lpfv2: 10000 rects +sky130_fd_io__xres2v2_rcfilter_lpfv2: 20000 rects +sky130_fd_io__xres2v2_rcfilter_lpfv2: 30000 rects +sky130_fd_io__xres2v2_rcfilter_lpfv2: 40000 rects +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808273 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808740 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808739 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808738 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808737 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808736 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808735 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808734 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808733 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808732 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808731 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808730 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808752 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808751 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808750 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808749 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808748 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808747 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808746 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808745 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808744 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808743 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808742 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808741 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808324 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808270 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808187 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808338 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808337 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808700 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808559 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808729 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__xres_p_em1c_cdns_55959141808753 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_5595914180860 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180857 geometry by factor of 2 +sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 10000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 20000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 30000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 40000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 50000 rects +Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808649 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808646 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808378 geometry by factor of 2 +sky130_fd_io__xres4v2_in_buf: 10000 rects +sky130_fd_io__xres4v2_in_buf: 20000 rects +sky130_fd_io__xres4v2_in_buf: 30000 rects +sky130_fd_io__xres4v2_in_buf: 40000 rects +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808782 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808449 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808452 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808233 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180827 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180835 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808242 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180829 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808237 geometry by factor of 2 +sky130_fd_io__top_gpiov2: 10000 rects +sky130_fd_io__top_gpiov2: 20000 rects +sky130_fd_io__top_gpiov2: 30000 rects +sky130_fd_io__top_gpiov2: 40000 rects +sky130_fd_io__top_gpiov2: 50000 rects +sky130_fd_io__top_gpiov2: 60000 rects +sky130_fd_io__top_gpiov2: 70000 rects +sky130_fd_io__top_gpiov2: 80000 rects +sky130_fd_io__top_gpiov2: 90000 rects +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808418 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808190 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180811 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808191 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808517 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808518 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180815 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180816 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180884 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808106 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808504 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180812 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180875 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em2s_cdns_55959141808438 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em2o_cdns_55959141808439 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_5595914180882 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_5595914180881 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_5595914180880 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_5595914180879 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180823 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808510 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808394 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808298 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808440 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180878 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808434 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808102 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808115 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808100 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808140 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808280 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808425 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808306 geometry by factor of 2 +sky130_fd_io__gpiov2_amux: 10000 rects +sky130_fd_io__gpiov2_amux: 20000 rects +sky130_fd_io__gpiov2_amux: 30000 rects +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808178 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808488 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808122 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808123 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808476 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180868 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180869 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808581 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808278 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808462 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808200 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180894 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfm1sd__example_55959141808258 geometry by factor of 2 +sky130_fd_io__amux_switch_1v2b: 10000 rects +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808563 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808251 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfm1sd2__example_55959141808561 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808267 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808266 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808633 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808322 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808321 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808320 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808294 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808325 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808323 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808291 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__pfet_01v8__example_55959141808640 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808194 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_55959141808328 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_55959141808327 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808272 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808271 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808143 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808275 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808276 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808297 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808296 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808295 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808293 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808292 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808300 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808299 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__nfet_01v8__example_55959141808308 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_55959141808301 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1o_cdns_55959141808302 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180854 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808368 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808625 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808373 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808374 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808398 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808397 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808396 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808395 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808401 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808399 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808269 geometry by factor of 2 +sky130_fd_io__gpio_odrvr_subv2: 10000 rects +sky130_fd_io__gpio_odrvr_subv2: 20000 rects +sky130_fd_io__gpio_odrvr_subv2: 30000 rects +Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418080 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180832 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__tk_em1s_cdns_5595914180852 geometry by factor of 2 +sky130_fd_io__nfet_con_diff_wo_abt_270v2: 10000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270v2: 20000 rects +sky130_fd_io__nfet_con_diff_wo_abt_270v2: 30000 rects +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418083 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418082 geometry by factor of 2 +sky130_fd_io__pfet_con_diff_wo_abt_270v2: 10000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270v2: 20000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270v2: 30000 rects +sky130_fd_io__pfet_con_diff_wo_abt_270v2: 40000 rects +Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418085 geometry by factor of 2 +sky130_fd_io__gpio_pddrvr_strong_slowv2: 10000 rects +sky130_fd_io__gpio_pddrvr_strong_slowv2: 20000 rects +Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808655 geometry by factor of 2 +sky130_fd_io__top_ground_lvc_wpad: 10000 rects +sky130_fd_io__top_ground_lvc_wpad: 20000 rects +sky130_fd_io__top_ground_lvc_wpad: 30000 rects +sky130_fd_io__top_ground_lvc_wpad: 40000 rects +sky130_fd_io__top_ground_lvc_wpad: 50000 rects +sky130_fd_io__top_ground_lvc_wpad: 60000 rects +sky130_fd_io__top_ground_lvc_wpad: 70000 rects +sky130_fd_io__top_ground_lvc_wpad: 80000 rects +sky130_fd_io__top_ground_lvc_wpad: 90000 rects +sky130_fd_io__top_ground_lvc_wpad: 100000 rects +sky130_fd_io__top_ground_lvc_wpad: 110000 rects +sky130_fd_io__top_ground_lvc_wpad: 120000 rects +sky130_fd_io__top_ground_lvc_wpad: 130000 rects +sky130_fd_io__top_ground_lvc_wpad: 140000 rects +sky130_fd_io__top_ground_lvc_wpad: 150000 rects +sky130_fd_io__top_ground_lvc_wpad: 160000 rects +sky130_fd_io__top_ground_lvc_wpad: 170000 rects +sky130_fd_io__top_ground_lvc_wpad: 180000 rects +sky130_fd_io__top_ground_lvc_wpad: 190000 rects +sky130_fd_io__top_ground_lvc_wpad: 200000 rects +sky130_fd_io__top_ground_lvc_wpad: 210000 rects +sky130_fd_io__top_ground_lvc_wpad: 220000 rects +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808684 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808686 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808685 geometry by factor of 2 +Scaled magic input cell sky130_fd_io__gnd2gnd_tap geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808683 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808702 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808682 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808694 geometry by factor of 2 +Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808681 geometry by factor of 2 +sky130_fd_io__overlay_vssio_hvc: 10000 rects +sky130_fd_io__hvc_clampv2: 10000 rects +sky130_fd_io__hvc_clampv2: 20000 rects +sky130_fd_io__hvc_clampv2: 30000 rects +sky130_fd_io__hvc_clampv2: 40000 rects +sky130_fd_io__hvc_clampv2: 50000 rects +sky130_fd_io__hvc_clampv2: 60000 rects +sky130_fd_io__hvc_clampv2: 70000 rects +sky130_fd_io__hvc_clampv2: 80000 rects +sky130_fd_io__hvc_clampv2: 90000 rects +sky130_fd_io__hvc_clampv2: 100000 rects +sky130_fd_io__hvc_clampv2: 110000 rects +sky130_fd_io__hvc_clampv2: 120000 rects +sky130_fd_io__hvc_clampv2: 130000 rects +sky130_fd_io__hvc_clampv2: 140000 rects +sky130_fd_io__hvc_clampv2: 150000 rects +sky130_fd_io__hvc_clampv2: 160000 rects +sky130_fd_io__hvc_clampv2: 170000 rects +sky130_fd_io__hvc_clampv2: 180000 rects +sky130_fd_io__hvc_clampv2: 190000 rects +sky130_fd_io__hvc_clampv2: 200000 rects +sky130_fd_io__hvc_clampv2: 210000 rects +sky130_fd_io__hvc_clampv2: 220000 rects +sky130_fd_io__hvc_clampv2: 230000 rects +sky130_fd_io__hvc_clampv2: 240000 rects +sky130_fd_io__hvc_clampv2: 250000 rects +sky130_fd_io__hvc_clampv2: 260000 rects +sky130_fd_io__hvc_clampv2: 270000 rects +sky130_fd_io__hvc_clampv2: 280000 rects +sky130_fd_io__top_power_lvc_wpad: 10000 rects +sky130_fd_io__top_power_lvc_wpad: 20000 rects +sky130_fd_io__top_power_lvc_wpad: 30000 rects +sky130_fd_io__top_power_lvc_wpad: 40000 rects +sky130_fd_io__top_power_lvc_wpad: 50000 rects +sky130_fd_io__top_power_lvc_wpad: 60000 rects +sky130_fd_io__top_power_lvc_wpad: 70000 rects +sky130_fd_io__top_power_lvc_wpad: 80000 rects +sky130_fd_io__top_power_lvc_wpad: 90000 rects +sky130_fd_io__top_power_lvc_wpad: 100000 rects +sky130_fd_io__top_power_lvc_wpad: 110000 rects +sky130_fd_io__top_power_lvc_wpad: 120000 rects +sky130_fd_io__top_power_lvc_wpad: 130000 rects +sky130_fd_io__top_power_lvc_wpad: 140000 rects +sky130_fd_io__top_power_lvc_wpad: 150000 rects +sky130_fd_io__top_power_lvc_wpad: 160000 rects +sky130_fd_io__top_power_lvc_wpad: 170000 rects +sky130_fd_io__top_power_lvc_wpad: 180000 rects +sky130_fd_io__top_power_lvc_wpad: 190000 rects +sky130_fd_io__top_power_lvc_wpad: 200000 rects +sky130_fd_io__top_power_lvc_wpad: 210000 rects +sky130_fd_io__top_power_lvc_wpad: 220000 rects +sky130_fd_io__top_power_lvc_wpad: 230000 rects +sky130_fd_io__overlay_vddio_hvc: 10000 rects +sky130_fd_io__simple_pad_and_busses: 10000 rects +Processing timestamp mismatches: sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__buf_8, sky130_ef_sc_hd__decap_12, sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_sc_hd__fill_8, sky130_fd_sc_hd__fill_4, constant_block, sky130_fd_sc_hd__buf_16, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__fill_2, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__corner_pad, sky130_ef_io__com_bus_slice_20um, gpio_control_power_routing, gpio_control_power_routing_right, caravan_power_routing, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__inv_12, mprj2_logic_high, mprj_logic_high, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hvl__conb_1, mgmt_protect_hv, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hd__bufbuf_8, mgmt_protect, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__and3b_4, sky130_fd_sc_hd__and3b_2, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__and2b_4, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__o21ba_2, sky130_fd_sc_hd__nand4b_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__xor2_2, sky130_fd_sc_hd__a21boi_2, sky130_fd_sc_hd__nand3b_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__einvp_2, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__clkinv_1, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__nand3_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__nand2b_2, sky130_fd_sc_hd__a211o_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__and2b_2, sky130_fd_sc_hd__xnor2_2, sky130_fd_sc_hd__and4b_2, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__a21o_4, sky130_fd_sc_hd__a21oi_4, sky130_fd_sc_hd__a221o_4, sky130_fd_sc_hd__a221oi_1, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o31a_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__xor2_1, sky130_fd_sc_hd__o21a_4, sky130_fd_sc_hd__nand3b_4, sky130_fd_sc_hd__and4b_4, sky130_fd_sc_hd__a31oi_4, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__a2111oi_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__o41a_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nand4b_1, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__a21boi_1, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__nand2b_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_4, sky130_fd_sc_hd__a2111o_4, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__and4bb_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__dlygate4sd3_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o31ai_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__nand2b_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__and2_0, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or2_0, sky130_fd_sc_hd__dfbbn_2, sky130_fd_sc_hd__macro_sparecell, gpio_control_block, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__a41oi_1, sky130_fd_sc_hd__o2bb2ai_1, sky130_fd_sc_hd__o31ai_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__decap_8. + Generating output for cell caravan_logo + Generating output for cell font_22 + Generating output for cell font_64 + Generating output for cell font_61 + Generating output for cell font_6F + Generating output for cell font_52 + Generating output for cell font_6E + Generating output for cell font_65 + Generating output for cell font_70 + Generating output for cell font_4F + Generating output for cell font_68 + Generating output for cell font_54 + Generating output for cell font_67 + Generating output for cell font_69 + Generating output for cell font_76 + Generating output for cell font_72 + Generating output for cell font_44 + Generating output for cell caravan_motto + Generating output for cell font_79 + Generating output for cell font_74 + Generating output for cell font_73 + Generating output for cell font_66 + Generating output for cell font_63 + Generating output for cell font_62 + Generating output for cell font_57 + Generating output for cell font_56 + Generating output for cell font_53 + Generating output for cell font_50 + Generating output for cell font_47 + Generating output for cell font_43 + Generating output for cell font_34 + Generating output for cell font_32 + Generating output for cell font_30 + Generating output for cell font_29 + Generating output for cell font_28 + Generating output for cell font_20 + Generating output for cell font_6C + Generating output for cell font_6B + Generating output for cell font_4B + Generating output for cell font_2D + Generating output for cell copyright_block_a + Generating output for cell open_source + Generating output for cell sky130_fd_sc_hvl__decap_8 + Generating output for cell sky130_fd_sc_hvl__decap_4 + Generating output for cell sky130_fd_sc_hvl__diode_2 + Generating output for cell sky130_fd_sc_hvl__fill_1 + Generating output for cell sky130_fd_sc_hvl__fill_2 + Generating output for cell sky130_fd_sc_hvl__lsbufhv2lv_1 + Generating output for cell xres_buf + Generating output for cell alpha_0 + Generating output for cell user_id_textblock + Generating output for cell sky130_fd_sc_hd__nor2_2 + Generating output for cell sky130_fd_sc_hd__inv_2 + Generating output for cell sky130_fd_sc_hd__nand2_2 + Generating output for cell sky130_fd_sc_hd__conb_1 + Generating output for cell sky130_fd_sc_hd__macro_sparecell + Generating output for cell sky130_fd_sc_hd__dfbbn_2 + Generating output for cell sky130_fd_sc_hd__or2_0 + Generating output for cell sky130_fd_sc_hd__o21ai_4 + Generating output for cell sky130_fd_sc_hd__and2_0 + Generating output for cell sky130_fd_sc_hd__mux2_4 + Generating output for cell sky130_fd_sc_hd__dfrtp_4 + Generating output for cell sky130_fd_sc_hd__dlygate4sd3_1 + Generating output for cell sky130_fd_sc_hd__and2b_2 + Generating output for cell sky130_fd_sc_hd__nand2b_2 + Generating output for cell sky130_fd_sc_hd__o21ai_2 + Generating output for cell sky130_fd_sc_hd__buf_2 + Generating output for cell sky130_fd_sc_hd__dfrtp_2 + Generating output for cell sky130_fd_sc_hd__clkbuf_16 + Generating output for cell sky130_fd_sc_hd__diode_2 + Generating output for cell sky130_fd_sc_hd__and3b_2 + Generating output for cell sky130_fd_sc_hd__and2_2 + Generating output for cell sky130_fd_sc_hd__decap_3 + Generating output for cell sky130_fd_sc_hd__fill_1 + Generating output for cell sky130_fd_sc_hd__buf_16 + Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1 + Generating output for cell sky130_fd_sc_hd__decap_8 + Generating output for cell sky130_fd_sc_hd__decap_6 + Generating output for cell sky130_fd_sc_hd__decap_4 + Generating output for cell gpio_logic_high + Generating output for cell gpio_control_block + Generating output for cell sky130_fd_sc_hd__o21bai_1 + Generating output for cell sky130_fd_sc_hd__nand3b_1 + Generating output for cell sky130_fd_sc_hd__nand4bb_1 + Generating output for cell sky130_fd_sc_hd__o2111ai_2 + Generating output for cell sky130_fd_sc_hd__o31ai_2 + Generating output for cell sky130_fd_sc_hd__o2bb2ai_1 + Generating output for cell sky130_fd_sc_hd__a41oi_1 + Generating output for cell sky130_fd_sc_hd__o211ai_4 + Generating output for cell sky130_fd_sc_hd__nor3b_2 + Generating output for cell sky130_fd_sc_hd__xnor2_1 + Generating output for cell sky130_fd_sc_hd__o2111ai_1 + Generating output for cell sky130_fd_sc_hd__nand3_1 + Generating output for cell sky130_fd_sc_hd__a31o_1 + Generating output for cell sky130_fd_sc_hd__o21a_1 + Generating output for cell sky130_fd_sc_hd__nand2b_1 + Generating output for cell sky130_fd_sc_hd__o22a_1 + Generating output for cell sky130_fd_sc_hd__o21ai_1 + Generating output for cell sky130_fd_sc_hd__a2bb2o_1 + Generating output for cell sky130_fd_sc_hd__nor2_1 + Generating output for cell sky130_fd_sc_hd__a21oi_1 + Generating output for cell sky130_fd_sc_hd__nor3_2 + Generating output for cell sky130_fd_sc_hd__nor3_1 + Generating output for cell sky130_fd_sc_hd__nor4_1 + Generating output for cell sky130_fd_sc_hd__o31ai_1 + Generating output for cell sky130_fd_sc_hd__a21o_1 + Generating output for cell sky130_fd_sc_hd__o31a_1 + Generating output for cell sky130_fd_sc_hd__mux2_1 + Generating output for cell sky130_fd_sc_hd__dfxtp_1 + Generating output for cell sky130_fd_sc_hd__dfstp_1 + Generating output for cell sky130_fd_sc_hd__dfrtn_1 + Generating output for cell sky130_fd_sc_hd__dfstp_2 + Generating output for cell sky130_fd_sc_hd__dfrtp_1 + Generating output for cell sky130_fd_sc_hd__dlymetal6s2s_1 + Generating output for cell sky130_fd_sc_hd__buf_12 + Generating output for cell sky130_fd_sc_hd__o21a_2 + Generating output for cell sky130_fd_sc_hd__clkbuf_2 + Generating output for cell sky130_fd_sc_hd__clkbuf_1 + Generating output for cell sky130_fd_sc_hd__inv_4 + Generating output for cell sky130_fd_sc_hd__clkinv_4 + Generating output for cell sky130_fd_sc_hd__clkinv_2 + Generating output for cell sky130_fd_sc_hd__and2_1 + Generating output for cell sky130_fd_sc_hd__clkbuf_4 + Generating output for cell sky130_fd_sc_hd__nand2_1 + Generating output for cell sky130_fd_sc_hd__buf_4 + Generating output for cell sky130_fd_sc_hd__fill_2 + Generating output for cell sky130_ef_sc_hd__decap_12 + Generating output for cell caravel_clocking + Generating output for cell sky130_fd_sc_hd__clkbuf_8 + Generating output for cell buff_flash_clkrst + Generating output for cell sky130_fd_sc_hd__decap_12 + Generating output for cell gpio_defaults_block_1803 + Generating output for cell sky130_fd_sc_hd__dfstp_4 + Generating output for cell sky130_fd_sc_hd__a32o_1 + Generating output for cell sky130_fd_sc_hd__a22o_1 + Generating output for cell sky130_fd_sc_hd__a221o_1 + Generating output for cell sky130_fd_sc_hd__a211o_4 + Generating output for cell sky130_fd_sc_hd__a21bo_1 + Generating output for cell sky130_fd_sc_hd__o2bb2a_1 + Generating output for cell sky130_fd_sc_hd__a221o_2 + Generating output for cell sky130_fd_sc_hd__a211o_1 + Generating output for cell sky130_fd_sc_hd__o21ba_1 + Generating output for cell sky130_fd_sc_hd__and4b_1 + Generating output for cell sky130_fd_sc_hd__and4bb_1 + Generating output for cell sky130_fd_sc_hd__and4bb_2 + Generating output for cell sky130_fd_sc_hd__and3_4 + Generating output for cell sky130_fd_sc_hd__nand3_4 + Generating output for cell sky130_fd_sc_hd__a311o_1 + Generating output for cell sky130_fd_sc_hd__nor2_4 + Generating output for cell sky130_fd_sc_hd__nor2_8 + Generating output for cell sky130_fd_sc_hd__o221a_1 + Generating output for cell sky130_fd_sc_hd__a2111o_1 + Generating output for cell sky130_fd_sc_hd__nor4_2 + Generating output for cell sky130_fd_sc_hd__a2111o_4 + Generating output for cell sky130_fd_sc_hd__nor4_4 + Generating output for cell sky130_fd_sc_hd__a2111o_2 + Generating output for cell sky130_fd_sc_hd__nand2b_4 + Generating output for cell sky130_fd_sc_hd__and3_1 + Generating output for cell sky130_fd_sc_hd__o32a_1 + Generating output for cell sky130_fd_sc_hd__a21boi_1 + Generating output for cell sky130_fd_sc_hd__nand4_1 + Generating output for cell sky130_fd_sc_hd__o311a_1 + Generating output for cell sky130_fd_sc_hd__o211a_1 + Generating output for cell sky130_fd_sc_hd__o2111a_1 + Generating output for cell sky130_fd_sc_hd__nand4b_1 + Generating output for cell sky130_fd_sc_hd__a31oi_1 + Generating output for cell sky130_fd_sc_hd__and4_1 + Generating output for cell sky130_fd_sc_hd__a41o_1 + Generating output for cell sky130_fd_sc_hd__and2b_1 + Generating output for cell sky130_fd_sc_hd__a311oi_2 + Generating output for cell sky130_fd_sc_hd__o41a_1 + Generating output for cell sky130_fd_sc_hd__nor3b_1 + Generating output for cell sky130_fd_sc_hd__a2111oi_1 + Generating output for cell sky130_fd_sc_hd__nand4b_4 + Generating output for cell sky130_fd_sc_hd__a31oi_4 + Generating output for cell sky130_fd_sc_hd__and4b_4 + Generating output for cell sky130_fd_sc_hd__nand3b_4 + Generating output for cell sky130_fd_sc_hd__o21a_4 + Generating output for cell sky130_fd_sc_hd__xor2_1 + Generating output for cell sky130_fd_sc_hd__nor3_4 + Generating output for cell sky130_fd_sc_hd__o221a_4 + Generating output for cell sky130_fd_sc_hd__mux2_8 + Generating output for cell sky130_fd_sc_hd__o31a_4 + Generating output for cell sky130_fd_sc_hd__and4_2 + Generating output for cell sky130_fd_sc_hd__a221oi_1 + Generating output for cell sky130_fd_sc_hd__a221o_4 + Generating output for cell sky130_fd_sc_hd__a21oi_4 + Generating output for cell sky130_fd_sc_hd__a21o_4 + Generating output for cell sky130_fd_sc_hd__inv_6 + Generating output for cell sky130_fd_sc_hd__a31o_2 + Generating output for cell sky130_fd_sc_hd__nand4_2 + Generating output for cell sky130_fd_sc_hd__and4b_2 + Generating output for cell sky130_fd_sc_hd__xnor2_2 + Generating output for cell sky130_fd_sc_hd__a21oi_2 + Generating output for cell sky130_fd_sc_hd__a211o_2 + Generating output for cell sky130_fd_sc_hd__and3_2 + Generating output for cell sky130_fd_sc_hd__o211a_2 + Generating output for cell sky130_fd_sc_hd__o31a_2 + Generating output for cell sky130_fd_sc_hd__nand3_2 + Generating output for cell sky130_fd_sc_hd__a21o_2 + Generating output for cell sky130_fd_sc_hd__a22o_2 + Generating output for cell sky130_fd_sc_hd__mux2_2 + Generating output for cell sky130_fd_sc_hd__and2b_4 + Generating output for cell sky130_fd_sc_hd__and3b_1 + Generating output for cell sky130_fd_sc_hd__and3b_4 + Generating output for cell sky130_fd_sc_hd__and2_4 + Generating output for cell sky130_fd_sc_hd__nand2_4 + Generating output for cell sky130_fd_sc_hd__nand2_8 + Generating output for cell sky130_fd_sc_hd__buf_6 + Generating output for cell sky130_fd_sc_hd__buf_8 + Generating output for cell housekeeping + Generating output for cell sky130_fd_sc_hd__einvp_1 + Generating output for cell sky130_fd_sc_hd__clkinv_1 + Generating output for cell sky130_fd_sc_hd__einvn_4 + Generating output for cell sky130_fd_sc_hd__einvn_8 + Generating output for cell sky130_fd_sc_hd__einvp_2 + Generating output for cell sky130_fd_sc_hd__or2_2 + Generating output for cell sky130_fd_sc_hd__a32o_2 + Generating output for cell sky130_fd_sc_hd__o2111a_2 + Generating output for cell sky130_fd_sc_hd__o22a_2 + Generating output for cell sky130_fd_sc_hd__o221a_2 + Generating output for cell sky130_fd_sc_hd__nand3b_2 + Generating output for cell sky130_fd_sc_hd__a21boi_2 + Generating output for cell sky130_fd_sc_hd__xor2_2 + Generating output for cell sky130_fd_sc_hd__o2bb2a_2 + Generating output for cell sky130_fd_sc_hd__nand4b_2 + Generating output for cell sky130_fd_sc_hd__o21ba_2 + Generating output for cell sky130_fd_sc_hd__o32a_2 + Generating output for cell sky130_fd_sc_hd__clkinv_8 + Generating output for cell digital_pll + Generating output for cell user_id_programming + Generating output for cell simple_por +Reading "sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ". +Reading "sky130_fd_pr__pfet_g5v0d10v5_3YBPVB". +Reading "sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC". +Reading "sky130_fd_pr__nfet_g5v0d10v5_TGFUGS". +Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPBG". +Reading "sky130_fd_pr__pfet_g5v0d10v5_YEUEBV". +Reading "sky130_fd_pr__nfet_g5v0d10v5_PKVMTM". +Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPXE". +Reading "sky130_fd_sc_hvl__schmittbuf_1". +Reading "sky130_fd_sc_hvl__buf_8". +Reading "sky130_fd_sc_hvl__inv_8". +Reading "sky130_fd_sc_hvl__fill_4". +Reading "sky130_fd_pr__cap_mim_m3_1_WRT4AW". +Reading "sky130_fd_pr__cap_mim_m3_2_W5U4AW". +Reading "sky130_fd_pr__res_xhigh_po_0p69_S5N9F3". +Reading "simple_por". + Generating output for cell mgmt_core_wrapper +Reading "sky130_fd_sc_hd__decap_3". +Reading "sky130_ef_sc_hd__decap_12". +Reading "sky130_fd_sc_hd__tapvpwrvgnd_1". +Reading "sky130_fd_sc_hd__fill_1". +Reading "sky130_fd_sc_hd__decap_4". +Reading "sky130_fd_sc_hd__buf_12". +Reading "sky130_fd_sc_hd__decap_6". +Reading "sky130_fd_sc_hd__fill_2". +Reading "sky130_fd_sc_hd__diode_2". +Reading "sky130_fd_sc_hd__decap_8". +Reading "sky130_fd_sc_hd__buf_6". +Reading "sky130_fd_sc_hd__clkbuf_8". +Reading "sky130_fd_sc_hd__dfxtp_1". +Reading "sky130_fd_sc_hd__mux2_1". +Reading "sky130_fd_sc_hd__dlygate4sd3_1". +Reading "sky130_fd_sc_hd__buf_8". +Reading "sky130_fd_sc_hd__clkbuf_16". +Reading "sky130_fd_sc_hd__o21a_1". +Reading "sky130_fd_sc_hd__a21o_1". +Reading "sky130_fd_sc_hd__o221a_1". +Reading "sky130_fd_sc_hd__a311o_1". +Reading "sky130_fd_sc_hd__o211a_1". +Reading "sky130_fd_sc_hd__o31a_1". +Reading "sky130_fd_sc_hd__o311a_1". +Reading "sky130_fd_sc_hd__a211oi_1". +Reading "sky130_fd_sc_hd__a211o_1". +Reading "sky130_fd_sc_hd__a2bb2o_1". +Reading "sky130_fd_sc_hd__o21ai_1". +Reading "sky130_fd_sc_hd__o311ai_1". +Reading "sky130_fd_sc_hd__a31o_1". +Reading "sky130_fd_sc_hd__clkbuf_4". +Reading "sky130_fd_sc_hd__o32a_1". +Reading "sky130_fd_sc_hd__o211a_4". +Reading "sky130_fd_sc_hd__o22a_1". +Reading "sky130_fd_sc_hd__and3_1". +Reading "sky130_fd_sc_hd__a32o_4". +Reading "sky130_fd_sc_hd__a22o_1". +Reading "sky130_fd_sc_hd__nand2_1". +Reading "sky130_fd_sc_hd__o211ai_1". +Reading "sky130_fd_sc_hd__a22oi_2". +Reading "sky130_fd_sc_hd__o22a_4". +Reading "sky130_fd_sc_hd__o221ai_1". +Reading "sky130_fd_sc_hd__a211o_4". +Reading "sky130_fd_sc_hd__o2bb2a_1". +Reading "sky130_fd_sc_hd__a31oi_1". +Reading "sky130_fd_sc_hd__a21o_4". +Reading "sky130_fd_sc_hd__a31o_4". +Reading "sky130_fd_sc_hd__dfxtp_4". +Reading "sky130_fd_sc_hd__o31a_2". +Reading "sky130_fd_sc_hd__and3_4". +Reading "sky130_fd_sc_hd__a2bb2oi_2". +Reading "sky130_fd_sc_hd__o311a_2". +Reading "sky130_fd_sc_hd__buf_4". +Reading "sky130_fd_sc_hd__and3_2". +Reading "sky130_fd_sc_hd__a21oi_2". +Reading "sky130_fd_sc_hd__a32o_1". +Reading "sky130_fd_sc_hd__a211o_2". +Reading "sky130_fd_sc_hd__a311oi_1". +Reading "sky130_fd_sc_hd__o211a_2". +Reading "sky130_fd_sc_hd__a31oi_4". +Reading "sky130_fd_sc_hd__a21oi_1". +Reading "sky130_fd_sc_hd__a32o_2". +Reading "sky130_fd_sc_hd__or3b_4". +Reading "sky130_fd_sc_hd__a31oi_2". +Reading "sky130_fd_sc_hd__or4b_1". +Reading "sky130_fd_sc_hd__a2bb2oi_1". +Reading "sky130_fd_sc_hd__o311ai_4". +Reading "sky130_fd_sc_hd__dfxtp_2". +Reading "sky130_fd_sc_hd__nor3_2". +Reading "sky130_fd_sc_hd__or2_1". +Reading "sky130_fd_sc_hd__nor2_4". +Reading "sky130_fd_sc_hd__o21a_4". +Reading "sky130_fd_sc_hd__and3b_1". +Reading "sky130_fd_sc_hd__a21boi_1". +Reading "sky130_fd_sc_hd__a41o_2". +Reading "sky130_fd_sc_hd__and4_1". +Reading "sky130_fd_sc_hd__nand3_1". +Reading "sky130_fd_sc_hd__nand2b_1". +Reading "sky130_fd_sc_hd__or3b_1". +Reading "sky130_fd_sc_hd__nor4_1". +Reading "sky130_fd_sc_hd__o41a_4". +Reading "sky130_fd_sc_hd__o21a_2". +Reading "sky130_fd_sc_hd__a41o_1". +Reading "sky130_fd_sc_hd__and2b_1". +Reading "sky130_fd_sc_hd__and4bb_4". +Reading "sky130_fd_sc_hd__o41ai_2". +Reading "sky130_fd_sc_hd__xnor2_4". +Reading "sky130_fd_sc_hd__and4bb_1". +Reading "sky130_fd_sc_hd__inv_4". +Reading "sky130_fd_sc_hd__nor2_1". +Reading "sky130_fd_sc_hd__nand4_1". +Reading "sky130_fd_sc_hd__clkinv_16". +Reading "sky130_fd_sc_hd__xor2_2". +Reading "sky130_fd_sc_hd__mux2_2". +Reading "sky130_fd_sc_hd__xor2_1". +Reading "sky130_fd_sc_hd__a2111o_4". +Reading "sky130_fd_sc_hd__and4b_2". +Reading "sky130_fd_sc_hd__o41a_1". +Reading "sky130_fd_sc_hd__or3b_2". +Reading "sky130_fd_sc_hd__mux2_4". +Reading "sky130_fd_sc_hd__or2_4". +Reading "sky130_fd_sc_hd__nor2_2". +Reading "sky130_fd_sc_hd__or4b_4". +Reading "sky130_fd_sc_hd__nor4_2". +Reading "sky130_fd_sc_hd__o21ai_2". +Reading "sky130_fd_sc_hd__and4b_1". +Reading "sky130_fd_sc_hd__and2_1". +Reading "sky130_fd_sc_hd__nor4_4". +Reading "sky130_fd_sc_hd__nand3b_1". +Reading "sky130_fd_sc_hd__o2111ai_4". +Reading "sky130_fd_sc_hd__o41ai_1". +Reading "sky130_fd_sc_hd__a2111oi_2". +Reading "sky130_fd_sc_hd__xnor2_1". +Reading "sky130_fd_sc_hd__nor4b_1". +Reading "sky130_fd_sc_hd__and2_2". +Reading "sky130_fd_sc_hd__mux4_2". +Reading "sky130_fd_sc_hd__mux4_1". +Reading "sky130_fd_sc_hd__nand2_2". +Reading "sky130_fd_sc_hd__nor3_1". +Reading "sky130_fd_sc_hd__o31ai_1". +Reading "sky130_fd_sc_hd__and4b_4". +Reading "sky130_fd_sc_hd__or4bb_1". +Reading "sky130_fd_sc_hd__o2111ai_2". +Reading "sky130_fd_sc_hd__a21bo_1". +Reading "sky130_fd_sc_hd__and4_4". +Reading "sky130_fd_sc_hd__inv_2". +Reading "sky130_fd_sc_hd__nor4b_4". +Reading "sky130_fd_sc_hd__nand4_4". +Reading "sky130_fd_sc_hd__o21ba_1". +Reading "sky130_fd_sc_hd__o2111a_1". +Reading "sky130_fd_sc_hd__a2111oi_1". +Reading "sky130_fd_sc_hd__nor3_4". +Reading "sky130_fd_sc_hd__nor2_8". +Reading "sky130_fd_sc_hd__o21bai_1". +Reading "sky130_fd_sc_hd__nand2b_4". +Reading "sky130_fd_sc_hd__and2b_4". +Reading "sky130_fd_sc_hd__nand2b_2". +Reading "sky130_fd_sc_hd__and4_2". +Reading "sky130_fd_sc_hd__clkinv_4". +Reading "sky130_fd_sc_hd__o21ai_4". +Reading "sky130_fd_sc_hd__a221o_2". +Reading "sky130_fd_sc_hd__a221o_4". +Reading "sky130_fd_sc_hd__a221o_1". +Reading "sky130_fd_sc_hd__clkinv_2". +Reading "sky130_fd_sc_hd__a31o_2". +Reading "sky130_fd_sc_hd__clkbuf_1". +Reading "sky130_fd_sc_hd__decap_12". +Reading "sky130_fd_sc_hd__clkbuf_2". +Reading "sky130_fd_sc_hd__ebufn_2". +Reading "sky130_fd_sc_hd__conb_1". +Reading "sky130_fd_sc_hd__inv_1". +Reading "sky130_fd_sc_hd__dlclkp_1". +Reading "sky130_fd_sc_hd__dlxtp_1". +Reading "sky130_fd_sc_hd__and4bb_2". +Reading "sky130_fd_sc_hd__nor4b_2". +Reading "sky130_fd_sc_hd__and3b_2". +Reading "sky130_fd_sc_hd__nor3b_2". +Reading "sky130_fd_sc_hd__and2b_2". +Reading "RAM256". +Reading "sky130_fd_sc_hd__o311ai_2". +Reading "sky130_fd_sc_hd__a221oi_2". +Reading "sky130_fd_sc_hd__a32oi_1". +Reading "sky130_fd_sc_hd__nand2_4". +Reading "sky130_fd_sc_hd__nand2_8". +Reading "sky130_fd_sc_hd__and2_4". +Reading "sky130_fd_sc_hd__or4bb_4". +Reading "sky130_fd_sc_hd__and3b_4". +Reading "sky130_fd_sc_hd__a311oi_4". +Reading "sky130_fd_sc_hd__o221ai_4". +Reading "sky130_fd_sc_hd__buf_2". +Reading "sky130_fd_sc_hd__a21o_2". +Reading "sky130_fd_sc_hd__a21oi_4". +Reading "sky130_fd_sc_hd__a311o_2". +Reading "sky130_fd_sc_hd__nand3_2". +Reading "sky130_fd_sc_hd__o31ai_4". +Reading "sky130_fd_sc_hd__o211ai_2". +Reading "sky130_fd_sc_hd__o211ai_4". +Reading "sky130_fd_sc_hd__a22oi_1". +Reading "sky130_fd_sc_hd__inv_6". +Reading "sky130_fd_sc_hd__o22ai_1". +Reading "sky130_fd_sc_hd__a2111o_1". +Reading "sky130_fd_sc_hd__o2111ai_1". +Reading "sky130_fd_sc_hd__nand3b_2". +Reading "sky130_fd_sc_hd__nand4_2". +Reading "sky130_fd_sc_hd__nor3b_1". +Reading "sky130_fd_sc_hd__a211oi_4". +Reading "sky130_fd_sc_hd__inv_8". +Reading "sky130_fd_sc_hd__o2bb2ai_1". +Reading "sky130_fd_sc_hd__a221oi_4". +Reading "sky130_fd_sc_hd__nand4b_2". +Reading "sky130_fd_sc_hd__o21bai_4". +Reading "sky130_fd_sc_hd__o22ai_4". +Reading "sky130_fd_sc_hd__nor3b_4". +Reading "sky130_fd_sc_hd__o2bb2a_4". +Reading "sky130_fd_sc_hd__a22o_4". +Reading "sky130_fd_sc_hd__a22oi_4". +Reading "sky130_fd_sc_hd__o2bb2ai_4". +Reading "sky130_fd_sc_hd__a2bb2o_4". +Reading "sky130_fd_sc_hd__or4b_2". +Reading "sky130_fd_sc_hd__a22o_2". +Reading "sky130_fd_sc_hd__o22a_2". +Reading "sky130_fd_sc_hd__o32ai_4". +Reading "sky130_fd_sc_hd__a2111oi_4". +Reading "sky130_fd_sc_hd__o2bb2a_2". +Reading "sky130_fd_sc_hd__xor2_4". +Reading "sky130_fd_sc_hd__or2_2". +Reading "sky130_fd_sc_hd__o2bb2ai_2". +Reading "sky130_fd_sc_hd__a32oi_4". +Reading "sky130_fd_sc_hd__nand3_4". +Reading "sky130_fd_sc_hd__a2bb2o_2". +Reading "sky130_fd_sc_hd__a211oi_2". +Reading "sky130_fd_sc_hd__nand4b_1". +Reading "sky130_fd_sc_hd__o31ai_2". +Reading "sky130_fd_sc_hd__a41oi_1". +Reading "sky130_fd_sc_hd__a2111o_2". +Reading "sky130_fd_sc_hd__mux2_8". +Reading "sky130_fd_sc_hd__clkinv_8". +Reading "sky130_fd_sc_hd__inv_12". +Reading "sky130_fd_sc_hd__nand3b_4". +Reading "sky130_fd_sc_hd__o41a_2". +Reading "sky130_fd_sc_hd__a221oi_1". +Reading "sky130_fd_sc_hd__xnor2_2". +Reading "sky130_fd_sc_hd__a311o_4". +Reading "sky130_fd_sc_hd__o2111a_2". +Reading "sky130_fd_sc_hd__o32ai_1". +Reading "sky130_fd_sc_hd__o31a_4". +Reading "sky130_fd_sc_hd__a32oi_2". +Reading "sky130_fd_sc_hd__a2bb2oi_4". +Reading "sky130_fd_sc_hd__a311oi_2". +Reading "sky130_fd_sc_hd__o311a_4". +Reading "sky130_fd_sc_hd__o22ai_2". +Reading "sky130_fd_sc_hd__o2111a_4". +Reading "sky130_fd_sc_hd__o221a_2". +Reading "RAM128". +Reading "sky130_fd_sc_hd__nand4b_4". +Reading "sky130_fd_sc_hd__o21ba_2". +Reading "sky130_fd_sc_hd__a41o_4". +Reading "sky130_fd_sc_hd__a41oi_2". +Reading "sky130_fd_sc_hd__o21bai_2". +Reading "sky130_fd_sc_hd__a41oi_4". +Reading "sky130_fd_sc_hd__a21boi_2". +Reading "sky130_fd_sc_hd__dlymetal6s2s_1". +Reading "mgmt_core_wrapper". + Generating output for cell gpio_defaults_block_0403 + Generating output for cell sky130_fd_sc_hd__inv_8 + Generating output for cell sky130_fd_sc_hd__dfbbp_1 + Generating output for cell spare_logic_block + Generating output for cell sky130_fd_sc_hd__bufbuf_8 + Generating output for cell sky130_fd_sc_hvl__conb_1 + Generating output for cell mgmt_protect_hv + Generating output for cell mprj_logic_high + Generating output for cell mprj2_logic_high + Generating output for cell sky130_fd_sc_hd__inv_12 + Generating output for cell mgmt_protect + Generating output for cell gpio_defaults_block_0801 + Generating output for cell gpio_control_power_routing_right + Generating output for cell gpio_control_power_routing + Generating output for cell caravan_power_routing + Generating output for cell caravan_signal_routing +Warning: Writing abstract view of "user_analog_project_wrapper" to GDS. This is probably not what you want to do. + Generating output for cell user_analog_project_wrapper + Generating output for cell sky130_ef_io__com_bus_slice_20um + Generating output for cell sky130_fd_io__corner_bus_overlay + Generating output for cell sky130_ef_io__corner_pad + Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + Generating output for cell sky130_ef_io__com_bus_slice_1um + Generating output for cell sky130_ef_io__com_bus_slice_5um + Generating output for cell sky130_ef_io__com_bus_slice_10um + Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180851 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808664 + Generating output for cell sky130_fd_io__sio_clamp_pcap_4x5 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808680 + Generating output for cell sky130_fd_io__esd_rcclamp_nfetcap + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808336 + Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808666 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808665 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808678 + Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808679 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808677 + Generating output for cell sky130_fd_pr__via_pol1__example_5595914180839 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808667 + Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808671 + Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808672 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808673 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808663 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808660 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808670 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808668 + Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808675 + Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808676 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808674 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808662 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808661 + Generating output for cell sky130_fd_pr__via_l1m1__example_559591418084 + Generating output for cell sky130_fd_pr__genrivetdlring__example_559591418082 + Generating output for cell sky130_fd_pr__gendlring__example_559591418081 + Generating output for cell sky130_fd_pr__padplhp__example_559591418080 + Generating output for cell sky130_fd_io__pad_esd + Generating output for cell sky130_fd_io__com_bus_slice + Generating output for cell sky130_fd_io__com_bus_hookup + Generating output for cell sky130_fd_io__com_busses_esd + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808669 + Generating output for cell sky130_fd_io__top_ground_hvc_wpad + Generating output for cell sky130_fd_io__overlay_vssa_hvc + Generating output for cell sky130_ef_io__hvc_vdda_overlay + Generating output for cell sky130_ef_io__vssa_hvc_clamped_pad + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808719 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808767 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808765 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808764 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808720 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808718 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808717 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808716 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808243 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808723 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808722 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180890 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808721 + Generating output for cell sky130_fd_io__xres_inv_hysv2 + Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180838 + Generating output for cell sky130_fd_io__tk_tie_r_out_esd + Generating output for cell sky130_fd_io__res250_sub_small + Generating output for cell sky130_fd_io__res250only_small + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808264 + Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180858 + Generating output for cell sky130_fd_pr__via_pol1__example_5595914180833 + Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180864 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808715 + Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180863 + Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180862 + Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808288 + Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180859 + Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808289 + Generating output for cell sky130_fd_io__com_res_weak_v2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808274 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808728 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808727 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808726 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808725 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808724 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808554 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808553 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808552 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808551 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808402 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808350 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808261 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808260 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808259 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808400 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808372 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808326 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808290 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808128 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808127 + Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180897 + Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180819 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808555 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808147 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808157 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808156 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808155 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808154 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808153 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808152 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808151 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808150 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808149 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808148 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808158 + Generating output for cell sky130_fd_io__signal_5_sym_hv_local_5term + Generating output for cell sky130_fd_io__gpio_buf_localesdv2 + Generating output for cell sky130_fd_pr__via_pol1__example_559591418083 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808137 + Generating output for cell sky130_fd_pr__dfl1sd__example_559591418086 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808371 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808370 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808369 + Generating output for cell sky130_fd_io__hvsbt_inv_x1 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808316 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808421 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808385 + Generating output for cell sky130_fd_pr__dfl1sd__example_559591418088 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808422 + Generating output for cell sky130_fd_io__hvsbt_inv_x2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808612 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808616 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808615 + Generating output for cell sky130_fd_io__hvsbt_inv_x4 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180848 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180849 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808766 + Generating output for cell sky130_fd_pr__via_m2m3__example_55959141808714 + Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418081 + Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808652 + Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808653 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180812 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180811 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418086 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418084 + Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808659 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808658 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808657 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808202 + Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2 + Generating output for cell sky130_fd_io__gpio_pudrvr_strong_axres4v2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808273 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808740 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808739 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808738 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808737 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808736 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808735 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808734 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808733 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808732 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808731 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808730 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808752 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808751 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808750 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808749 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808748 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808747 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808746 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808745 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808744 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808743 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808742 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808741 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808324 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808270 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808187 + Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808755 + Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808754 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808338 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808337 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808763 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808700 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808559 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808762 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808729 + Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758 + Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757 + Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756 + Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761 + Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760 + Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759 + Generating output for cell sky130_fd_io__xres_p_em1c_cdns_55959141808753 + Generating output for cell sky130_fd_io__xres2v2_rcfilter_lpfv2 + Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180860 + Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180861 + Generating output for cell sky130_fd_io__com_res_weak_bentbigres + Generating output for cell sky130_fd_io__com_res_weak + Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180857 + Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808649 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808651 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808650 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808648 + Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808646 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808647 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808645 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808378 + Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2 + Generating output for cell sky130_fd_io__gpio_pddrvr_strong_xres4v2 + Generating output for cell sky130_fd_io__top_gpio_pad + Generating output for cell sky130_fd_io__com_busses + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808768 + Generating output for cell sky130_fd_pr__res_bent_nd__example_55959141808769 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808787 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808786 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808782 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808785 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808449 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808784 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808452 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808783 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808781 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808780 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808779 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808233 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180827 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808778 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180835 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808777 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808776 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808775 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808242 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808774 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180829 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808773 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808237 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808772 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808771 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808770 + Generating output for cell sky130_fd_io__tap_1 + Generating output for cell sky130_fd_io__inv_1 + Generating output for cell sky130_fd_pr__pfet_01v8__example_559591418085 + Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418089 + Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418087 + Generating output for cell sky130_fd_io__hvsbt_nand2 + Generating output for cell sky130_fd_io__xres4v2_in_buf + Generating output for cell sky130_fd_io__top_xres4v2 + Generating output for cell sky130_fd_sc_hd__fill_4 + Generating output for cell sky130_fd_sc_hd__fill_8 + Generating output for cell constant_block + Generating output for cell sky130_fd_io__res75only_small + Generating output for cell sky130_fd_io__com_bus_slice_m4 + Generating output for cell sky130_fd_io__overlay_gpiov2_m4 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808420 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808419 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808418 + Generating output for cell sky130_fd_io__hvsbt_nor + Generating output for cell sky130_fd_io__hvsbt_nand2v2 + Generating output for cell sky130_fd_io__gpiov2_ictl_logic + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808190 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808611 + Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180811 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808549 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808548 + Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808191 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808189 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808517 + Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808518 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808610 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808609 + Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180815 + Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180816 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808608 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808604 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808533 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808529 + Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180884 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808230 + Generating output for cell sky130_fd_io__gpiov2_in_buf + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808537 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808106 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808481 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808607 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808504 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808600 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808550 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808528 + Generating output for cell sky130_fd_io__gpiov2_ipath_hvls + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808595 + Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180825 + Generating output for cell sky130_fd_io__gpiov2_inbuf_lvinv_x1 + Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180812 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808599 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808598 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808597 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808596 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808547 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808546 + Generating output for cell sky130_fd_io__gpiov2_ipath_lvls + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808606 + Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180875 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808605 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808540 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808603 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808602 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808601 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808535 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808527 + Generating output for cell sky130_fd_io__gpiov2_vcchib_in_buf + Generating output for cell sky130_fd_io__gpiov2_ibuf_se + Generating output for cell sky130_fd_io__gpiov2_buf_localesd + Generating output for cell sky130_fd_io__gpiov2_ipath + Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808438 + Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808439 + Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180882 + Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180881 + Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180880 + Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180879 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808430 + Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180823 + Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180822 + Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180813 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808624 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808510 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808623 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808622 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808621 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808620 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808619 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808618 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808116 + Generating output for cell sky130_fd_io__com_ctl_lsv2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808394 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808298 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808440 + Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180878 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808434 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808435 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808433 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808432 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808102 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808431 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808115 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808429 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808428 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808100 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808140 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808427 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808426 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808280 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808425 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808424 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808423 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808306 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808383 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808382 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808380 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808379 + Generating output for cell sky130_fd_io__com_ctl_ls_v2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808617 + Generating output for cell sky130_fd_io__com_ctl_ls_en_1_v2 + Generating output for cell sky130_fd_io__com_ctl_ls_1v2 + Generating output for cell sky130_fd_io__gpiov2_ctl_lsbank + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808614 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808613 + Generating output for cell sky130_fd_io__hvsbt_inv_x8v2 + Generating output for cell sky130_fd_io__hvsbt_inv_x8 + Generating output for cell sky130_fd_io__com_ctl_ls + Generating output for cell sky130_fd_io__com_ctl_hldv2 + Generating output for cell sky130_fd_io__gpiov2_ctl + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808178 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808591 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808594 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808593 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808488 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808592 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808586 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808122 + Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808123 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808477 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808476 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808475 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808589 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808570 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808569 + Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808588 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808587 + Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808590 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808460 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808468 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808467 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808466 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808465 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808464 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808463 + Generating output for cell sky130_fd_io__gpiov2_amux_ctl_ls + Generating output for cell sky130_fd_io__gpiov2_amux_ctl_inv_1 + Generating output for cell sky130_fd_io__gpiov2_amux_ls + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808573 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808572 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808579 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808578 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808577 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808576 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808566 + Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180868 + Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180869 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808565 + Generating output for cell sky130_fd_io__gpiov2_amx_pucsd_inv + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808441 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808571 + Generating output for cell sky130_fd_io__gpiov2_amx_inv4 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808567 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808568 + Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv + Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv2 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808581 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808580 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808278 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808462 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808583 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808582 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808498 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808497 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808496 + Generating output for cell sky130_fd_io__gpiov2_amux_drvr_ls + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808574 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808575 + Generating output for cell sky130_fd_io__amx_inv1 + Generating output for cell sky130_fd_io__gpiov2_amux_drvr + Generating output for cell sky130_fd_io__xor2_1 + Generating output for cell sky130_fd_io__nor2_1 + Generating output for cell sky130_fd_io__nand2_1 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808200 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808457 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808451 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808450 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180894 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808584 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808455 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808447 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808445 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808248 + Generating output for cell sky130_fd_io__gpiov2_amux_nand5 + Generating output for cell sky130_fd_pr__dfm1sd__example_55959141808258 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808585 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808446 + Generating output for cell sky130_fd_io__gpiov2_amux_nand4 + Generating output for cell sky130_fd_io__gpiov2_amux_decoder + Generating output for cell sky130_fd_io__gpiov2_amux_ctl_logic + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808563 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808564 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808562 + Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808251 + Generating output for cell sky130_fd_pr__dfm1sd2__example_55959141808561 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808560 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808558 + Generating output for cell sky130_fd_io__amux_switch_1v2b + Generating output for cell sky130_fd_io__gpiov2_amux + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808416 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808417 + Generating output for cell sky130_fd_io__hvsbt_xorv2 + Generating output for cell sky130_fd_io__hvsbt_xor + Generating output for cell sky130_fd_io__com_ctl_ls_octl + Generating output for cell sky130_fd_io__gpiov2_octl + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808267 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808266 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808642 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808184 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808141 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808643 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808183 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808139 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808636 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808635 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808634 + Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808633 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808632 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808630 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808638 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808637 + Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808629 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808628 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808627 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808626 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808354 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808631 + Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr2 + Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180888 + Generating output for cell sky130_fd_io__gpiov2_octl_mux + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808322 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808321 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808320 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808294 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808325 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808323 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808291 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808641 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808640 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808639 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808348 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808347 + Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808194 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808346 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808345 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808344 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808343 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808334 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808333 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808332 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808331 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808330 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808329 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808304 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808134 + Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808328 + Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808327 + Generating output for cell sky130_fd_io__com_pdpredrvr_pbiasv2 + Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808272 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808271 + Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808143 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808142 + Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808101 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808144 + Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_5595914180899 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808275 + Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808276 + Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808286 + Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808285 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808284 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808283 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808644 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808287 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808282 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808281 + Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a + Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808297 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808296 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808295 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808293 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808292 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808300 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808299 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808319 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808318 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808317 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808315 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808314 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808313 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808312 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808311 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808310 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808309 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808308 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808307 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808305 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808303 + Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808301 + Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808302 + Generating output for cell sky130_fd_io__feascom_pupredrvr_nbiasv2 + Generating output for cell sky130_fd_io__gpio_pupredrvr_strongv2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808361 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808360 + Generating output for cell sky130_fd_io__feas_com_pupredrvr_weak + Generating output for cell sky130_fd_pr__via_pol1__example_5595914180854 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808363 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808362 + Generating output for cell sky130_fd_io__com_pupredrvr_strong_slowv2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808366 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808365 + Generating output for cell sky130_fd_io__com_pdpredrvr_weakv2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808364 + Generating output for cell sky130_fd_io__com_pdpredrvr_strong_slowv2 + Generating output for cell sky130_fd_io__gpiov2_obpredrvr + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808368 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808625 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808373 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808374 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808393 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808392 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808391 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808390 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808389 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808388 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808387 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808386 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808384 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808381 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808377 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808376 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808375 + Generating output for cell sky130_fd_io__gpio_dat_lsv2 + Generating output for cell sky130_fd_io__gpio_dat_ls_1v2 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808398 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808397 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808396 + Generating output for cell sky130_fd_pr__via_pol1__example_55959141808395 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808401 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808399 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808269 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808410 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808409 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808408 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808407 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808406 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808405 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808404 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808403 + Generating output for cell sky130_fd_io__com_cclat + Generating output for cell sky130_fd_io__com_opath_datoev2 + Generating output for cell sky130_fd_io__gpiov2_octl_dat + Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418080 + Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180832 + Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180856 + Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180855 + Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180853 + Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180852 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418083 + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418082 + Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270v2 + Generating output for cell sky130_fd_io__gpiov2_pddrvr_strong + Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418085 + Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270v2 + Generating output for cell sky130_fd_io__gpio_pudrvr_strongv2 + Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180850 + Generating output for cell sky130_fd_io__gpio_pddrvr_weakv2 + Generating output for cell sky130_fd_io__gpio_pddrvr_strong_slowv2 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808656 + Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808655 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808654 + Generating output for cell sky130_fd_io__com_pudrvr_weakv2 + Generating output for cell sky130_fd_io__com_pudrvr_strong_slowv2 + Generating output for cell sky130_fd_io__gpio_odrvr_subv2 + Generating output for cell sky130_fd_io__gpio_odrvrv2 + Generating output for cell sky130_fd_io__gpio_opathv2 + Generating output for cell sky130_fd_io__top_gpiov2 + Generating output for cell sky130_fd_io__overlay_gpiov2 + Generating output for cell sky130_ef_io__gpiov2_pad + Generating output for cell sky130_ef_io__gpiov2_pad_wrapped + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808691 + Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808687 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808684 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808686 + Generating output for cell sky130_fd_pr__tpl1__example_55959141808685 + Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808683 + Generating output for cell sky130_fd_io__gnd2gnd_strap + Generating output for cell sky130_fd_io__gnd2gnd_tap + Generating output for cell sky130_fd_io__gnd2gnd_diff + Generating output for cell sky130_fd_io__gnd2gnd_sub_dnwl + Generating output for cell sky130_fd_io__gnd2gnd_120x2_lv_isosub + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808690 + Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808702 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808705 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808682 + Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808694 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808693 + Generating output for cell sky130_fd_pr__dfl1__example_55959141808681 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808688 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808699 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808698 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808697 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808696 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808695 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808704 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808703 + Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808701 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808689 + Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808692 + Generating output for cell sky130_fd_io__top_ground_lvc_wpad + Generating output for cell sky130_fd_io__overlay_vssd_lvc + Generating output for cell sky130_ef_io__lvc_vccdx_overlay + Generating output for cell sky130_ef_io__vssd_lvc_clamped_pad + Generating output for cell sky130_fd_io__overlay_vssio_hvc + Generating output for cell sky130_ef_io__hvc_vssio_overlay + Generating output for cell sky130_ef_io__vssio_hvc_clamped_pad + Generating output for cell sky130_fd_io__hvc_clampv2 + Generating output for cell sky130_fd_io__top_power_hvc_wpadv2 + Generating output for cell sky130_fd_io__overlay_vdda_hvc + Generating output for cell sky130_ef_io__vdda_hvc_clamped_pad + Generating output for cell sky130_fd_io__top_power_lvc_wpad + Generating output for cell sky130_fd_io__overlay_vccd_lvc + Generating output for cell sky130_ef_io__vccd_lvc_clamped_pad + Generating output for cell sky130_ef_io__disconnect_vdda_slice_5um + Generating output for cell sky130_fd_io__overlay_vddio_hvc + Generating output for cell sky130_ef_io__hvc_vddio_overlay + Generating output for cell sky130_ef_io__vddio_hvc_clamped_pad + Generating output for cell chip_io_gpio_connects + Generating output for cell sky130_ef_io__lvc_vccdy_overlay + Generating output for cell sky130_ef_io__vssd_lvc_clamped3_pad + Generating output for cell sky130_ef_io__vccd_lvc_clamped3_pad + Generating output for cell sky130_fd_io__simple_pad_and_busses + Generating output for cell sky130_ef_io__analog_pad + Generating output for cell sky130_ef_io__top_power_hvc + Generating output for cell chip_io_alt + Generating output for cell gpio_signal_buffering_alt + Generating output for cell caravan diff --git a/scripts/logs/caravan/caravan-max-f-sta.log b/scripts/logs/caravan/caravan-max-f-sta.log new file mode 100644 index 00000000..58651c31 --- /dev/null +++ b/scripts/logs/caravan/caravan-max-f-sta.log @@ -0,0 +1,16338 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 417, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.000179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.060159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.051175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.031716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.084222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.075121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.055444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.017249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.108668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.099050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.078264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.038411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.033804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.027071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.010279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.056008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.049277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.032268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.079028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.072095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.054573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.017229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002674, 0.002674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.016729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.030709' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.026041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.043980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.039199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.025349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004204, 0.004204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004819, 0.004819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003959, 0.003959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2329, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.008221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.070234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.057848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.036087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.092349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.078726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010881' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.110180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.094058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.065256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.018690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001982, 0.001982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.077089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.067611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.047525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.011456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.045306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.035857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.016945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.130554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.121601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.103817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.070070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.023751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.017202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.153989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.144824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.125453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.089353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.026225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.041196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.033581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.018835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.181381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.169739' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.147456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.107370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.038981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002597, 0.002597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002688, 0.002688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.012670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.133068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.127258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.113517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.025188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.161464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.154905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.140432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.110291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.053216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.001428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.056271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.050936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.016743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.207562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.199303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.181841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.147691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005015, 0.005015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005145, 0.005145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004804, 0.004804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.066813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.062224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.050822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.071985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.067860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.057269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.032486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.006998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.000613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.127068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.122922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.112650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.089018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.035146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.033068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.030449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.023218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.005834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.171264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.156357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.130338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.074048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.004775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.002379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.061838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.058234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.049598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.029280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.215883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.210229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.196479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.105356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008763, 0.008763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009153, 0.009153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008278, 0.008278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008065, 0.008065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009083, 0.009083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009310, 0.009310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007790, 0.007790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.024758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.015799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.010118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.001078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.071703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.062629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.043261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.005979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.074840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.065323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.044440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.004101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.043724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.036857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.019761' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.042052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.034998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.017118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5682, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.001083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.057654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.053352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.040762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.010420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.071610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.067175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.054114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.022216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005026, 0.005026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005285, 0.005285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.094734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.083315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.059461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.068640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.057421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.034730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.027523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.019651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.003854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.149713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.137944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.114920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.069484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.041401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.031856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.165251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.151075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.122877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.071556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.081036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.054582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.015509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.047733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.007958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.029299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.012453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.149015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.141338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.123471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.086220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.011809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.006777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.002254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.059329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.052440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.037798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.008036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.198443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.188295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.165747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.120820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.039068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004716, 0.004716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.002948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.123141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.118203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.105841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.075550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.005501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.107175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.102790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.061682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.040685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.037774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.008925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.176801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.172196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.159424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.129857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.066586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.009545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.068784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.064324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.053432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.225223' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.218624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.202098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.165544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008122, 0.008122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009588, 0.009588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008673, 0.008673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009839, 0.009839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009331, 0.009331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.047005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.038300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.019446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.032763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.023929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.004783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001768, 0.001768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.022488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.017866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.004916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8254, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.047861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.029577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.018204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8649, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001900, 0.001900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.013259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.003663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.119859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.105987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.076834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.017002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.088304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.074714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.045216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.028703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.022276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.007062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.149342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.140245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.118150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.070227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.014798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.008690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.124376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.115387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.093848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.045871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005025, 0.005025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001707, 0.001707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.028617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.024607' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.153924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.148756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.093102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.005807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.017146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.003078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.128077' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.113197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.075786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008964, 0.008964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008237, 0.008237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009733, 0.009733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008768, 0.008768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.039667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.030891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.012151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9984, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.008553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.100260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.090993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.070771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.031931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.007456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.000625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.064427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.057506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.040020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.003286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002616, 0.002616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.024072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.019733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.007065' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.005456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.001051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.081604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.077153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.064193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.032863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004630, 0.004630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005041, 0.005041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004209, 0.004209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.010447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.114821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.100707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.009837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.084040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.040676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.002835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.165573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.148787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.116116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.054284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.027854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.021492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.006163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.147995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.138868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.116799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.069294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.122347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.113714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.044856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.060071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.042924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.008685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.207900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.197224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.171735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.120099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.024586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005062, 0.005062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004764, 0.004764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.027633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.023573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.152282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.146363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.131368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.089964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.000596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.016395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.002180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.132467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.126904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.111714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.015640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.003653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.068252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.055606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.026659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.220523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.212972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.194033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.151462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.063293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008945, 0.008945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009726, 0.009726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008775, 0.008775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12304, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.001918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.055772' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.045654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.024155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.024777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.017376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.047330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.042620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.028901' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004079, 0.004079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004010, 0.004010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004751, 0.004751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.034610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.013137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.038250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.027252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.003864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.078832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.067073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.042922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.070394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.029416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.020605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.144388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.131216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.104006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.003396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.113376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.106000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.088659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.050393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.089035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.082355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.065089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.026911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.025067' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.019458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.153166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.144935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.125790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.085194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.012819' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.007388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.131023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.122999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.104654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.063928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.058472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.052114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.037931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.009508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.195499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.185909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.164775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.121522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.042776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004998, 0.004998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005095, 0.005095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004511, 0.004511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.000076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.113132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.108770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.062885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.090976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.079048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.048451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.016665' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.012835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.143359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.137797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.123156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.087517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.126381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.121106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.107579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.073854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.008498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.005707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.063940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.059732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.049128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.025268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.210292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.203994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.188039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.151636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.078475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008827, 0.008827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008038, 0.008038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008834, 0.008834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007933, 0.007933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009451, 0.009451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009271, 0.009271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007946, 0.007946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.013090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.001351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.050022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.038201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.029533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.016867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.069198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.068172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.018090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.008040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.010222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.000198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.014742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.010357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.056114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.051641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.038384' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.039566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.035017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.021593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005044, 0.005044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004568, 0.004568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005281, 0.005281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.051338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.043948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.009425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.105353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.089628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055243' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.000290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.076612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.042679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.089875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.082447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.057657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.001163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.081332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.072167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.048851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.034263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.026241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.005946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.149979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.137507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.107771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.042553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.025624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.017541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.139977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.128110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.099106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.036016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004525, 0.004525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.113509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.107107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.089295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.106596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.100778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.046696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.024552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.177614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.168770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.144965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.088621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.038773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.033366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.018699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.167633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.159543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.137821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008637, 0.008637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008016, 0.008016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008101, 0.008101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009237, 0.009237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001618, 0.001618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001907, 0.001907) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001800, 0.001800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.031983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.029568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.022852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.006889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.044742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.042274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.035488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.019412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.020756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.016119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.003066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005153, 0.005153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004750, 0.004750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005016, 0.005016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004589, 0.004589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.032669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.024443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.007720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.075971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.067071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.049054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.091979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.078748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.050292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.125625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.112247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.084250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.026956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002682, 0.002682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.078814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.059489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.031812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.098790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.077725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.047597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.013692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.123075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.114127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.044404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.028818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.022441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.149939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.140762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.118713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.070591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004798, 0.004798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004531, 0.004531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.072524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.068589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.058538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.035329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.102737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.098490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.087502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.062019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.003572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.015603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.001003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.130268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.124643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.109304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.070798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.027679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.023152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.152138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.146011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.129675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.089603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009290, 0.009290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009527, 0.009527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008147, 0.008147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009648, 0.009648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008733, 0.008733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008885, 0.008885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008181, 0.008181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.056068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.036574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.054771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.033386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.038792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.031577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.014050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.034544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.027167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.008778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.047359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.029174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.061091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.056128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.010355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004501, 0.004501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.081867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.069912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.047068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.064334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.052354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.027593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.051140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.040091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.017754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.029834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.022050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.006744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.154380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.142924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.120496' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.076918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.000668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.043144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.033765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.015534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.167869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.153776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.126528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.077792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.024359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.040209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.047023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.039784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.022814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.028103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.023026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.011340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.151283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.143744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.126339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.089757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.052779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.046186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.188546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.178867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.156675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.112716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.031283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004347, 0.004347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004569, 0.004569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004153, 0.004153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004643, 0.004643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.031270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.025718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.011452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.048850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.043619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.070120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.065534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.053680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.024972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.033215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.029672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.021590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.001454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.165662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.160702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.148471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.119687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.055375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.007346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.004563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.064201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.059987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.049548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.026797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.214893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.208469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.192879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.157788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.088521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008687, 0.008687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008137, 0.008137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008935, 0.008935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009307, 0.009307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.013219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26193, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26242, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.009632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.077780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.067941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.047225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.008434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.040176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.032890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.015321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002557, 0.002557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.075898' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.071265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.057957' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.026462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004980, 0.004980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005183, 0.005183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004909, 0.004909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.102306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.058140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.043088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.060095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.031950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.002645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.047050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.036366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.165803' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.149975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.118879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.061654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.033283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.075563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.065543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.041417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.077605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.046929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.006701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.001768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.055712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.048233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.031549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.183857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.172693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.148639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.098730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.004686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004759, 0.004759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.075292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.068452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.048591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.000377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.082653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.058771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.014738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.097728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.092125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.038209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.013627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.010180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.001523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.069791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.064663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.051755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.023006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.213425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.205997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.187285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.144323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.056441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008628, 0.008628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008196, 0.008196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008173, 0.008173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009282, 0.009282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008198, 0.008198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29065, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.068191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.058525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.037657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.034778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.024880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.043549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.036576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.019042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.027658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.020622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.003295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002705, 0.002705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.011675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.006995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004503, 0.004503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004116, 0.004116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004746, 0.004746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.097689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.082471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.080119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.064986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.031914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.063687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.019817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.043388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.030837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.004832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.167501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.149962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.111941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.039891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.024374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.012301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.131087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.114233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.077007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.006316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.071964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.061392' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.056809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.046337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.020165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.069215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.060373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.037365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.013346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.168970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.155518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.125266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.059405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.030438' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.021972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.002190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.147483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.135248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.105917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043134' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.059792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.052856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.033478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.056106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.049546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.030879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.078457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.072848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.057347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.017344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.046490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.025699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.178911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.170451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.093942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.034755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.021053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.171524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.163677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.142892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.094099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008524, 0.008524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008125, 0.008125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008811, 0.008811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009212, 0.009212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008001, 0.008001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.092748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.083278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.062736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.023786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002664, 0.002664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.036152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.028999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.011082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.058369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.053926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.040865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.009512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004577, 0.004577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004637, 0.004637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.045845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.032694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.000171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.048198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.033702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.057550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.043782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.014159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.064559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.051573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.024017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.030272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.009420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.158558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.143476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.113260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.056014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.044170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.032748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.004662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.028309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.016835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.052362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.042436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.017756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.046935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.024344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.008248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.002987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.048658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.031329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.182309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.170590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.145241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.093019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004278, 0.004278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004371, 0.004371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.039198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.031920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.030351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.023272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.004332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.058230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.051963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.035003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.075842' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.070246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.055124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.018055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012961' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.009616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.001204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.068076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.063045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.050447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.022680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.210037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.202694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.183801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.141488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.059327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008141, 0.008141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008115, 0.008115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007911, 0.007911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008058, 0.008058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009308, 0.009308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008206, 0.008206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001501, 0.001501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001786, 0.001786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002552, 0.002552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001524, 0.001524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.060343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.028899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002070, 0.002070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001878, 0.001878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001719, 0.001719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.028276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.024774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.013631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001908, 0.001908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007232, 0.007232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006473, 0.006473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.060446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.050161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.029068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007963, 0.007963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007000, 0.007000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003724, 0.003724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47007, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'Y', The 'values' attribute has a '-0.014200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003243, 0.003243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002902, 0.002902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.017540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.014291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.001615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.100294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.095804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.078287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.019714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040148, 0.040148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035026, 0.035026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.005464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.063639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.047316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.004249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005467, 0.005467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.019938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.012188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.095654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.084678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.053071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010835, 0.010835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009535, 0.009535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.023409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.018585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.001722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.104471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.097920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.074596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.006116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021483, 0.021483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018825, 0.018825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009724, 0.009724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008233, 0.008233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003746, 0.003746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003487, 0.003487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001793, 0.001793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001736, 0.001736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003710, 0.003710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003447, 0.003447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001802, 0.001802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003718, 0.003718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003498, 0.003498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003690, 0.003690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003645, 0.003645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003687, 0.003687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001955, 0.001955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003633, 0.003633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003621, 0.003621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003603, 0.003603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003618, 0.003618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003574, 0.003574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003536, 0.003536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003450, 0.003450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003467, 0.003467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003470, 0.003470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001950, 0.001950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000805, 0.000805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000772, 0.000772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005212, 0.005212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002630, 0.002630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002681, 0.002681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.030247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.020055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001927, 0.001927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001898, 0.001898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001992, 0.001992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.023131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.012968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001895, 0.001895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001901, 0.001901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001912, 0.001912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001946, 0.001946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003350, 0.003350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003752, 0.003752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.022940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.011934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.009403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.062139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.057051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007338, 0.007338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006161, 0.006161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004725, 0.004725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011398, 0.011398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001932, 0.001932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001971, 0.001971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002743, 0.002743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.059408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.013799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.053618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.052727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002807, 0.002807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.038831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.026329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.155586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.136664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.099620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.031040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004556, 0.004556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004043, 0.004043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.002816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.050289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.040473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.018674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.175237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.160735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.128893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.065801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008695, 0.008695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007159, 0.007159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.059344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.052060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.033831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.193557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.183144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.156598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017534, 0.017534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015756, 0.015756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011060, 0.011060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009017, 0.009017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.004582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.001242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.052535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.038028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.002884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.194906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.187566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.166728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.113691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.032352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002692, 0.002692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.023268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.149716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.130909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.092670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.020486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69023, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.005952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.051302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.007677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.218503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.193623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.060041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003728, 0.003728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003798, 0.003798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.003095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.048448' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.037388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.012625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.167609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.151090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.115623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.045270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.067755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.055854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.030681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.246956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.226581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.183693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.104109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008910, 0.008910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008027, 0.008027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006103, 0.006103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006296, 0.006296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.006153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.001130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.057062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.030539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.188716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.177760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.150319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.089530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.007756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.003338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.075317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.067951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.009199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.266928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.254185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.221826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.153225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.029191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017371, 0.017371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015709, 0.015709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009663, 0.009663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.002833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.049373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.034657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.190043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.182410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.160866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.106078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.003274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.000399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.072856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.068129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.021129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.270202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.261584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.238780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.181893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.065360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007168, 0.007168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006808, 0.006808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006284, 0.006284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006249, 0.006249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004760, 0.004760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008112, 0.008112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007598, 0.007598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007141, 0.007141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006947, 0.006947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005307, 0.005307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008102, 0.008102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007591, 0.007591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007158, 0.007158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006961, 0.006961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005313, 0.005313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005059, 0.005059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004974, 0.004974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006964, 0.006964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006532, 0.006532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006608, 0.006608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006301, 0.006301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005028, 0.005028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008857, 0.008857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004990, 0.004990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003086, 0.003086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003334, 0.003334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75173, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.003311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.014357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.009231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.020986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.016003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.001689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009704, 0.009704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007942, 0.007942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.032848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.019359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.121410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.102895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.059552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.018230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.016087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.008623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.074726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.071824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.060944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.028707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.215486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.210871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.195913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.149886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027383, 0.027383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024925, 0.024925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.015725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.013947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.007430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.071919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.069389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.060052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.030868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.211755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.208350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.195163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.153750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.045148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.035280, 0.035280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.032042, 0.032042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.012480' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.056918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.023161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.167861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.154279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.120196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.016414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.066831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.060334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.042226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.192041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.182929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.158011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.095790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009449, 0.009449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008624, 0.008624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.018897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.015471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.005186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.073436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.068341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.053245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.014473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.208032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.201152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.180189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.124538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013951, 0.013951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012703, 0.012703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.018615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.015910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.006945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.073332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.069147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.056076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.020129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.208631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.203039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.184291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.133028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.011042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018565, 0.018565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016914, 0.016914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.058807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.048644' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.027445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007047, 0.007047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002093, 0.002093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002025, 0.002025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003727, 0.003727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77201, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'Y', The 'values' attribute has a '-0.014424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002838, 0.002838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.014566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.011327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.092319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.088059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.071163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.013609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040461, 0.040461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035826, 0.035826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.004097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.061305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.045478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.002599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005474, 0.005474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.018621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.011125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.093617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.083242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.051415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010854, 0.010854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009578, 0.009578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.021526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.016604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.100951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.094309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.070823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.001994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021525, 0.021525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019035, 0.019035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.022272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.016311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.006667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.010108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001716, 0.001716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.005306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.003811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.065621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.056176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.035643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.224695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.221356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.210486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.179333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.103097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009284, 0.009284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008373, 0.008373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034864, 0.034864) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029979, 0.029979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.013664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.011412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.004809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.074110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.070734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.060853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.035396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.229916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.224896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.209924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.171318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.084426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004874, 0.004874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004433, 0.004433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015665, 0.015665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009217, 0.009217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008337, 0.008337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006178, 0.006178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006325, 0.006325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006346, 0.006346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006196, 0.006196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006180, 0.006180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002966, 0.002966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002792, 0.002792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002855, 0.002855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003043, 0.003043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003436, 0.003436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003193, 0.003193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003284, 0.003284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002910, 0.002910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004003, 0.004003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003892, 0.003892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003686, 0.003686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003440, 0.003440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003453, 0.003453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005400, 0.005400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004931, 0.004931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005055, 0.005055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005437, 0.005437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007529, 0.007529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.019696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.007738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.112246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.096573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.022056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.009790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.119834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.104176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.068853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.075238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062214' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.032361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004870, 0.004870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.012564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.005515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.115413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.105491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.081993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.030131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.020859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.013787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.133131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.123397' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.100385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.048305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.002342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.112017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.103923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.084463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006747, 0.006747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006143, 0.006143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.027702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.023054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.010608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.144964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.138439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.078835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.018655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.013742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.001281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.127917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.103906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.059809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.004190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.000458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.114129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.108734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.094241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.058001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007594, 0.007594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007797, 0.007797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011603, 0.011603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010679, 0.010679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002880, 0.002880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001766, 0.001766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006063, 0.006063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005827, 0.005827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006077, 0.006077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005829, 0.005829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003399, 0.003399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.029969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.015276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.125102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.103862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.059040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.022592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.008283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.114686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.095395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.049751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.040201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.030369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.004829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.148075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.096732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.015924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.032696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.022825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.139321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.125289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.089221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.009358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004320, 0.004320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004223, 0.004223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.002109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.046885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.040054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.020631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.127105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.057110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.043540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.037111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.018949' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.128085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.061545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008817, 0.008817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008301, 0.008301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008405, 0.008405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.041902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.037390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.022378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.158795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.152604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.132475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.070056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.044088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.040081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.027184' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.169646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.164156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.145878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.092088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017411, 0.017411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016506, 0.016506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016332, 0.016332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.025307' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.011286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.125932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.106393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.061152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.032151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.022059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.141063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.126628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.011530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.043353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.037104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.018523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.166429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.157447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.130762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.064278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009324, 0.009324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.007084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.092521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.073569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028697' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.006776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.102958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.083271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.038143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.088525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.070584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.014195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.003603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.103541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.051111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.005321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.093656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.079017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.041133' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.008021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.088106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.055291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004342, 0.004342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004738, 0.004738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.091876' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.054147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.014491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.116619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.107733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.017996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.131633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.123021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.098825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.037336' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008820, 0.008820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008507, 0.008507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008336, 0.008336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.010989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.111718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.091801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.007552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.107294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.089051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001413, 0.001413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.006748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.099257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.084834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.048060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.012469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.003902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.120213' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.107216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.074649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.000443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004218, 0.004218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.010388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.004187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.111146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.101879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.074432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.002226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.014126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.008620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.120622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.112936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.089352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.026621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008766, 0.008766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008171, 0.008171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009363, 0.009363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008396, 0.008396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.000602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.084654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.064832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.019408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.094010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.073867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.027194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.098676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.079169' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.034910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.081712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.063677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.023432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.083068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.069514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.030436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.061657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.022314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.094174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.080522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.088831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004348, 0.004348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004287, 0.004287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.075382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.039552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.079838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.070239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.043479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.091311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.000565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.107454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.078321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.020381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008547, 0.008547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008338, 0.008338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008646, 0.008646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008265, 0.008265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008207, 0.008207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009224, 0.009224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.074556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.027704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.080322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.035513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.076913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.036410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.073088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.058429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.019237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.094601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.045626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.092218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.048042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004343, 0.004343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004257, 0.004257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.072614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.044701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.100527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.091837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.066946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.105465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.098165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.076048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.017678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009005, 0.009005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008303, 0.008303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009205, 0.009205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008289, 0.008289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.101155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.081394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.036760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.097544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.077922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.037723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.092495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.079116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.087317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.074152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.100500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.091950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.066826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.000576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.106215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.098380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.076168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.016903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008941, 0.008941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009220, 0.009220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008296, 0.008296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.011599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.120723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.078105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.002202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.045540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.033840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.010031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.161458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.144440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.109528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.043615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.035386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.029412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.015338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.153565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.145163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.124857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.078449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.010893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.063712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.055587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.000126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.200280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.188417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.161158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.105275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.003506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004622, 0.004622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004193, 0.004193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.041461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.037618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.027161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.168809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.163316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.148894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.110551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.025556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.014143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.010698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.071595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.066290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.052721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.021162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.219147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.211295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.190686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.143451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009190, 0.009190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008322, 0.008322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009347, 0.009347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008093, 0.008093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.039768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.037625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.008905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.167076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.156245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.125695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.050162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.013745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.011511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.004828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.073963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.070572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.060554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.034628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.229135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.223999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.209420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.082380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017944, 0.017944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016156, 0.016156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018153, 0.018153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015700, 0.015700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.002674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.099290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.088629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.022669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.024504' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.016456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.143260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.131303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.107153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.059136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.039701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.030075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.010533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.163305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.148549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.119006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.011352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.126464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.119185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.104886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.069196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.028907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.024234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.012242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.150718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.142926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.124801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.086197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.010829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.001602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.049489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.042587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.027464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.178916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.168601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.145778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.099867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.024559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.013463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.145667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.141230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.131389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.103222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.039347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.038922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.035482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.026596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.175117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.170035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.157502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.125412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.058054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.006854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.003912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.065161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.061114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.049469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.023890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.221006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.214205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.197174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.158191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.079598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009694, 0.009694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008410, 0.008410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009037, 0.009037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007758, 0.007758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.014127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.006654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.123023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.112604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.091328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.048868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.028000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.019968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.003613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.151497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.139586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.116048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.068500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.016050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.000105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.126699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.119613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.103302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.069309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.028652' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.023488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.149986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.142200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.124045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.084754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004180, 0.004180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004188, 0.004188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.025052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.022031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.014225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.147816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.143465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.132190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.106391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.045000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.039135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.035753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.027149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.006550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.178335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.173292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.159895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.129322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.064188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009223, 0.009223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007817, 0.007817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.091615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.063339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.012737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.119562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.100440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.062238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.020140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.156960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.144742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.123554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.011091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.040469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.031766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.014596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.170889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.157516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.085832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.008401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.006774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.002513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.112870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.106936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.093620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.065321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.011521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.007244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.126897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.120262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.105770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.076044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.016799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.025478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.020826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.010643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.152073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.145031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.096893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.000780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.052105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.046360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.195581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.186705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.167558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.061234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004141, 0.004141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004667, 0.004667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004697, 0.004697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003922, 0.003922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.011682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.002260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.126591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.122880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.113179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.090404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.039119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.018603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.015775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.146624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.142469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.108120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.054102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.033818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.030755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.023281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.005891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.174919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.170322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.158825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.075985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.003776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.001166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.060142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.056433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.047499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.215121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.209450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.195530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.164052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.101680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009140, 0.009140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008185, 0.008185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007985, 0.007985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009052, 0.009052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007667, 0.007667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009348, 0.009348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007769, 0.007769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.000357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.112994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.103893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.051512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.013615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.006992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.133647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.123861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.105143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.068254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.002047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.026694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.019597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.157734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.146794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.125231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.016510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.005379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.001145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.110103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.104224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.091010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.062952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.011033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.122732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.117485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.102934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.073309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.024376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.019486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.008960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.149099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.141956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.125958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.092943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.030412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004666, 0.004666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.010818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.002485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.125620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.121776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.112129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.090710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.038852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.018126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.015186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.145261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.141165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.130908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.107483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.052858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.033380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.029688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.021915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.005129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.172919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.168324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.157464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.129923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.071762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009092, 0.009092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009047, 0.009047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007698, 0.007698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.000892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.098362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.089309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.070835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.035156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.013983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.007058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.130886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.120796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.101706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.063310' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001425, 0.001425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.007941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.003808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.114216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.108396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.095429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.068308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.014342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.012674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.008270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.127329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.120965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.107132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.078744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.021595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004152, 0.004152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001494, 0.001494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001392, 0.001392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.011654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.010202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.003112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.126292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.122500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.112999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.092159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.040771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.018231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.016485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.009285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.144833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.140763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.130580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.107419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.053205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009132, 0.009132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008194, 0.008194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009157, 0.009157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007974, 0.007974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104074, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.008805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.013750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.002705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104172, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.005189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104221, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.004588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005084, 0.005084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004239, 0.004239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004956, 0.004956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004252, 0.004252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.043182' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.029566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.109816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.093241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.058988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.004991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.113536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.097502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.062945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.002357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.101022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.083890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.047507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.001278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.086500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.069993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.034947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.055675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.046777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.024931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.110849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.100075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073806' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.016722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.000291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.098381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.087398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.062226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.005743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.083491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.071092' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.041828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.002396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.044138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004021, 0.004021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004225, 0.004225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004408, 0.004408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004262, 0.004262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.060938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.055723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.041219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.115939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.109518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.091168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.046088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.000145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.111177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.104244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.039551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.077738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.056747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.079342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.071004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.051566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008221, 0.008221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009061, 0.009061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007794, 0.007794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008959, 0.008959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008674, 0.008674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008160, 0.008160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008294, 0.008294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.033325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.000121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.032681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.018468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.008664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.005200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.000116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.014801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.009923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005119, 0.005119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004800, 0.004800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004165, 0.004165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005274, 0.005274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004806, 0.004806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004717, 0.004717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004318, 0.004318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.055245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.042170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.012804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.118686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.102561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.068611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.013159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.002295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.121075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.105435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.071785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.005172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.019317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.008530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.126636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.111381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.077657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.011231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.085513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.076656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.054658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.004769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.015422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.007721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.134402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.122198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.094841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.036177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.109120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.069645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.009587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.022209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.120054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.107537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.017379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004704, 0.004704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004513, 0.004513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.107491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.102145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.087330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.049231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.024666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.020052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.007372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.163238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.156386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.136398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.031048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.025943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.012475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.151762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.144586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.124537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.078691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.039329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.033916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.019646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.162423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.154135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.133614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.084570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009531, 0.009531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007877, 0.007877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009557, 0.009557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008788, 0.008788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008211, 0.008211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110491, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.002865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.057818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.047449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.025239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.063254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.053485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.033260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.024086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.030303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.022864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.004758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.028813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.014784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.037896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.019612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005092, 0.005092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004763, 0.004763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.024571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.004976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.087676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.063172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.016963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.006247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.081295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.058788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.018330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.085090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.070424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.037953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.030820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.148583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.129756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.091305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.018921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.041137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.002963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.153207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.097796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002629, 0.002629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002019, 0.002019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.121643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.111944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.088731' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.036795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.046406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.037442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.017962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.181832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.169079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.139272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.078443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.051471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.043080' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.023887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.170350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.158570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.130649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.074724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004721, 0.004721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004128, 0.004128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.012568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.133889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.128089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.112311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.072811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.049926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.044570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.030779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.194831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.187348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.165991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.116835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.005117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.052849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.047434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.033473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.000858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.177478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.169866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.149281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.103471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.004632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009625, 0.009625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008681, 0.008681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009070, 0.009070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007875, 0.007875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009155, 0.009155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008477, 0.008477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.048003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.037714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.015604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001471, 0.001471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.016982' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.009216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.014176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.009002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004727, 0.004727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.086759' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.072037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.039749' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.030007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.017271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.151166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.131717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.093816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001872, 0.001872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.001181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.103716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.093983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.070490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.017568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.038390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.029516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.009536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.167790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.154206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.124046' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.062055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004724, 0.004724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.008045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.003978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.120332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.114011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.097090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.054699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.044510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.038630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.023608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.182304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.173827' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.151490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.099288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009322, 0.009322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007902, 0.007902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115331, Cell 'sky130_fd_sc_hd__o221a_1', pin 'X', The 'values' attribute has a '-0.003101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002569, 0.002569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005180, 0.005180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004631, 0.004631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005001, 0.005001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.049453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.035916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.007108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.110716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.094648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.061368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.059557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.044676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.012217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.010996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.125541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.107291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.072487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.003016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.077290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.060283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.026925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.090025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.058869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.010004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.005906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.142435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.131264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.104664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.047242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.101916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.092158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.068192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.147149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.134029' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.103825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.039937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.119221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.106923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.079524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.021861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005008, 0.005008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004551, 0.004551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005160, 0.005160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004197, 0.004197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.093419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.087903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.072843' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.034572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.012390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.007686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.147649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.139608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.074786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.085442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.023497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.021508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.015959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.151217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.142906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.020586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.014950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.113196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.040561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009575, 0.009575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007880, 0.007880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009339, 0.009339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007694, 0.007694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008376, 0.008376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.049195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.038194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.015219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.028462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.018991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.083269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.073197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.052211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.012753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.018304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.010386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.050267' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.042546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.023695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.018695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.004422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.011940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.035632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.030821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004672, 0.004672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005144, 0.005144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.083011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.069817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.040848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.024416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.145453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.094729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.029617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.006088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.102648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.058404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.046556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.034944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.176144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.159390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.124232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.057959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.095789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.087049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.065587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.015997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.030419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.022750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.004963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.156627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.145768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.119293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.061629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.012383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.005943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.116941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.107472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.085605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.034529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.003604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.054327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.046259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.028085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.189017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.177305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.149849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.091730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.003929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.122695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.117481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.102918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.067059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.033047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.021090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.176593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.169798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.151717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.107319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.013503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.022125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.018039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.140816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.135089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.119308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.079006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.048925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.043311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.028733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.186750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.178394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.156807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.106462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.001191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009583, 0.009583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009080, 0.009080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007912, 0.007912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009399, 0.009399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008853, 0.008853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002071, 0.002071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.010343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.007988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.001732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.034416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.032115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.030614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005118, 0.005118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004685, 0.004685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004087, 0.004087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.024377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.015862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.048535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.040174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.023875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.075939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.043582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.032940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.019773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.156812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.137524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.098578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.026295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.039534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.025884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.079042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.073129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.059992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.033691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.012052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.005442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.123302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.113491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.090247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.038320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.036592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.017142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.181927' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.169093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.139284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.078624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005177, 0.005177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005056, 0.005056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.048301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.044405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.011062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.038040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.024403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.003346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.119624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.113143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.096366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.053813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.042394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.036472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.021369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.178737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.170167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.094837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009242, 0.009242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008367, 0.008367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009221, 0.009221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009111, 0.009111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008236, 0.008236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007888, 0.007888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124628, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.005935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.035331' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.024574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.001320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.032101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.021874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.000337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.013642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.003410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125307, Cell 'sky130_fd_sc_hd__o311a_2', pin 'X', The 'values' attribute has a '-0.001587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126540, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.011969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.055002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.036586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.001905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.049738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.032031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.038178' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.020877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001860, 0.001860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.045938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.033521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.011350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.074161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.048523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.130805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.115980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.031159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.015846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.123301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.109235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.080760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.027190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.014642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.111151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.096943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.069747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.016205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002632, 0.002632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.044086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.036688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.019345' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.077825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.058861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.009173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.002621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.138999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.129049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.107135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.061157' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.012149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.127399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.118177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.097162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.052543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.005198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.093320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.083270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.059776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.011985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004233, 0.004233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004791, 0.004791) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004037, 0.004037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004792, 0.004792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.074095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.069639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.057988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.029337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.104232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.099255' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.085725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.052770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.009828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.005428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.142062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.135232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.078366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.019757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.015039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.002891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.131432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.124934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.021655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.016725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.004335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.125150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.100700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.059096' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009096, 0.009096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009055, 0.009055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007831, 0.007831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009281, 0.009281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007843, 0.007843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.011464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.002045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.030888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.021646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.000874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.059395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.049164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.027318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.053313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.043576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129421, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.001005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.024517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.017170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.020402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.013246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.025326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.020428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.006366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.033588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.028939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.015515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004592, 0.004592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003908, 0.003908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004933, 0.004933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.067458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.055893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.032324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.112294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.099427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.072604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.021125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.026131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.015649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.157378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.141302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.109465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.051436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.036936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.027410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.008507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.146359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.133185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.106272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.056326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002668, 0.002668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002680, 0.002680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.080716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.072987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.055671' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.017464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.008751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.129717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.121478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.102796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.063027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.034159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.027053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.011211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.174256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.162999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.139273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.090209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.001658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.048136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.041508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.026952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.167509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.158074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.136755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.095556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.019187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003939, 0.003939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.096894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.092160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.079718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.048777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.131908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.126355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.078136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.004507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041431' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.036674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.024841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.189616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.182326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.164311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.123455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.050103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.045399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.033914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.172340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.165723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.149198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.035059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009089, 0.009089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008149, 0.008149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009029, 0.009029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009444, 0.009444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007941, 0.007941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008439, 0.008439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.011617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.043745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.012455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.085659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.075805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.015505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132500, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.003146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.028139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.020577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.001633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132598, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.006231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.059688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.052400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.006335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003944, 0.003944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.077241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.066354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.042909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.105440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.080347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.032615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.028053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.018745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.161453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.147493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.064027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.010517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.002377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.111051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.097691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.073369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.025833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.000793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.050099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.040311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.021079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.183343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.169119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.140486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.088210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.068457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.061436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.044700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.111836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.103540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.085162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.043894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.018735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003711' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.158841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.148855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.125756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.077532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.020097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007873' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.143692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.135793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.118570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.079469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.001168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.047043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.039743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.023585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.175722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.165474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.141246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.093084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004277, 0.004277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.080695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.076298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.064372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.035409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.114585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.109425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.095976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.062765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.026556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.022188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.011051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.165003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.158136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.141486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.101107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.020947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.007789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.140789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.135499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.121173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.088477' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.014481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.043630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.038541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.025864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.175109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.167474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.149289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.106018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009048, 0.009048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007677, 0.007677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007808, 0.007808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008953, 0.008953) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008142, 0.008142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008981, 0.008981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007980, 0.007980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135650, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.028064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.018339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.055916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.045511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.023303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.065704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.056458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.037084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136329, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.004114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.029935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.002581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.046164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.038700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.020520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.020034' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.000730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.033040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.028464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004694, 0.004694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004783, 0.004783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003854, 0.003854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003831, 0.003831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004398, 0.004398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.057201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.045801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.024582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.095012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.083164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.061390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.020283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.003388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.087576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.043710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.031032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.021097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.001412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.170409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.154915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.073338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.000947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.042036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.032831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.015333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.151645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.138103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.070158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.056592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.033425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.072802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.066627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.014610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.111689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.103455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.085048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.047698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.028257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.021493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.006756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.163152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.152147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.129094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.084667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.005216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.031324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.017656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.137195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.127475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.108162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.070851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.002219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004566, 0.004566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003954, 0.003954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.071359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.066563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.055176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.029900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.080966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.076219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.064414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.036205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.110320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.105470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.092073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.060240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.027944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.012427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.168755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.162315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.144684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.106895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.032013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.039531' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.034988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.024210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.000747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.145288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.139661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.124264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.091449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008214, 0.008214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007717, 0.007717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007684, 0.007684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009215, 0.009215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008626, 0.008626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.022232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.011227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.030026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.018610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.010109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.016235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.006666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.022274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001724, 0.001724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.013172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.003425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.015174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.005020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001571, 0.001571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001794, 0.001794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.011114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.001328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143069, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.000221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.004186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.003926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001902, 0.001902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001519, 0.001519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001308, 0.001308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.003401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002001, 0.002001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.033155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.030350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.020884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007311, 0.007311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006538, 0.006538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.030426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.027683' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.018436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007331, 0.007331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006545, 0.006545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003709, 0.003709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003502, 0.003502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003259, 0.003259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003052, 0.003052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003224, 0.003224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003019, 0.003019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003704, 0.003704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003478, 0.003478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001813, 0.001813) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003245, 0.003245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003025, 0.003025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003653, 0.003653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003598, 0.003598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003652, 0.003652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004061, 0.004061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003636, 0.003636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002615, 0.002615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001933, 0.001933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003472, 0.003472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002017, 0.002017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003523, 0.003523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003427, 0.003427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001943, 0.001943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003460, 0.003460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002031, 0.002031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003518, 0.003518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003459, 0.003459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003456, 0.003456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001960, 0.001960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003679, 0.003679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001961, 0.001961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001978, 0.001978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001962, 0.001962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003971, 0.003971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003706, 0.003706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003947, 0.003947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003950, 0.003950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003540, 0.003540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001806, 0.001806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004591, 0.004591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001987, 0.001987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.103270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.088272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.056499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.041515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.033224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.016547' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.023001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.010660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.139424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.120342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.081640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.021942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.005420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.106016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.096940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.075198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.014314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.023398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.005122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.156295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.144299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.116019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.058627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.036947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.020515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008395, 0.008395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.001009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.110769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.104841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.088797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.048913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.036773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.033282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.024266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.003651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.031804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.026413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.012882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.165249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.157132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.136940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.087614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.032316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.028948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.020229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.000347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017314, 0.017314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015971, 0.015971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016149, 0.016149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015465, 0.015465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005143, 0.005143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003666, 0.003666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002611, 0.002611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005138, 0.005138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003668, 0.003668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003402, 0.003402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005456, 0.005456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005132, 0.005132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003671, 0.003671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003390, 0.003390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.052090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.043238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.024592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.002129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.107103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.093849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.006055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.053968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.044755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.025684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.008583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.112330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.099275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.071202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.012304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.052166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.045548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.030462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.091398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.082090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.059760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.009306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.076456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.069478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.053217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.018120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.003958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.102882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.093753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.070562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.020485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009349, 0.009349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008562, 0.008562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.052956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.048624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.037352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.009325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.099265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.093552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.077840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.038041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.070606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.066117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.054295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.025804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.001777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.104423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.098248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.039434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018101, 0.018101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016568, 0.016568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015573, 0.015573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014215, 0.014215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003669, 0.003669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003415, 0.003415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002608, 0.002608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005441, 0.005441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002592, 0.002592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003650, 0.003650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003375, 0.003375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.064973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.062220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.050512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.011535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.488398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.485585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.472778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.422846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.282066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009671, 0.009671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.068467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.066196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.056359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.022047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.501878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.499507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.489590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.447928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.321248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014391, 0.014391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.013047, 0.013047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.068318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.064407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.048391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.000123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.485219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.481018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.463197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.397992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.223623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007376, 0.007376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006709, 0.006709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006324, 0.006324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006345, 0.006345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006195, 0.006195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006179, 0.006179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ff_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' ... +Warning: Line 35, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 35, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.051800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.071230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.004830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.130770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.059770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.050070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.039270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.013670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.192700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.117700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.107400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.095900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.053100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.035300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.015000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.123770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.048970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.038770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.000670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.188900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.109900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.087100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.073700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.058800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.002900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1433, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.011900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.006710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.126530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.175670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.225600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.083900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.222830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.033530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.005230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.297270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.060270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.025370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.372700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.151800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.118600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.081100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.038900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.016680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.061900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.202130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.030930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.003830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.278970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.028570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.357300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.087900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2716, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.024600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2782, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.044870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.093200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.012400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.092230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.009830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.163470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.086070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.075470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.050370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.035470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.018870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.237500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.144600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.132000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.118100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.102400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.085000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.134370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.054270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.031070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.002070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.206600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.122100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.110500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.067100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.049100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.029000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.006200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3945, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.033170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.104730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.151770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.127370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.177700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.020800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.109230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.158270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.032130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.004430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.284170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.027870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.361000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.155700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.123300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.044900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.171530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.244470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.068970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.007570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.319300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.130600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.099900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.024700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.046770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.102900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.010400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.145330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.073030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.063030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.039330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.025330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.009530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.235870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.159070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.148470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.123170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.108370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.072970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.026670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.329800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.249100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.237800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.225300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.211200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.195600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.178200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.158600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.111100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.045900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.003200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.036370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.011500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.108830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.033030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.010330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.195970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.115470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.104070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.091370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.077170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.061170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.043170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.286700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.202100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.190200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.161900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.145200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.126400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.105300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5409, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5606, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5780, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.030200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.022700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.015300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.001400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5989, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6186, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6383, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6392, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6412, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6420, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6875, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.012800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.080930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.118470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.064500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.222180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.395770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.141140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.106200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.067600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.917230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.546430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.496130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.440630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.379430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.311930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.237930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.066930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.200170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.777870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.720670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.657770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.588370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.512070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.428270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.336270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.235370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.124770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.003570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.488500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.018300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.954900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.885000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.808100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.723500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.630700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.529000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.417600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.295600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.162000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.015700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7276, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.010900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.076730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.112970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.005170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.243200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.059000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.037000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.029620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.115600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.310050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.106840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.047650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.231260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.192810' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.103180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.126560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.767930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.719030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.664730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.604730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.538430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.465430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.385130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.296530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.199330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.092430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.452470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.047370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.992270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.931170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.863570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.788970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.706870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.616570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.407970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.287970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.156570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.782500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.334700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.274000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.206500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.132100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.049900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.959500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.860100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.750700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.630600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.498700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.354300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.195900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.022200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7756, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.005660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.003400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.089230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.068830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.065030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.060630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.055430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.049430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.034330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.025130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.123070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.101270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.097270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.080370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.064170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.054170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.157400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.138100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.130200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.125100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.119300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.112400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.084400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.058100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.023600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7930, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.008030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.020570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.033400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8104, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.040530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.084200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.011800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8278, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.081130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.050130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.044530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.038130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.111770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.072770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.057870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.048670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.025770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.011770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.142700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.108100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.094500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.086000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.064900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.051900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8452, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.080330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.064330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.191170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.174170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.133870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.109670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.081270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.047470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.007070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.363900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.307300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.289200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.269100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.246900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.222000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.193200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.159200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.118800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.070100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.011400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.326470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.190670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.173170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.106770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.043570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.364000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.306800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.288400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.267800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.114300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.045930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.025630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.205070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.172270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.349000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.304100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.285900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.265700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.156300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.116300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.068100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.062530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.204570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.188770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.129570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.001770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.348800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.303400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.284900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.264200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.241200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.111500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.238930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.160830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.150130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.138230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.124930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.110330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.054930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.358470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.274870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.263270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.250470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.236270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.203270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.183870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.162070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.137370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.481000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.392600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.380400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.366800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.296200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.273500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.248000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.184300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.143400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.034400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.113170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.052070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.031570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.202300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.141100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.120600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.076500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.056600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.099970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.107270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.004270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.315500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.050000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.017800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.064470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.153500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.134200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.112700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.032900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.081670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.066470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.049570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.181000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.163400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.070900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.008900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.169000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.150100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.129000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.027800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.051530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.087970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.126000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.030700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.031710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.073500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.264270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.014170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.331700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.128600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.098200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.063700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.072830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.162100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.003900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.016630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.220330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.058930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.032230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.001630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.303270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.129370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.100570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.029670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.387500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.202700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.172100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.136900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.050700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.005770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.071450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.141920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.347830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.147530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.082230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.043030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.457470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.239570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.206370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.168570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.125970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.568100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.334800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.299300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.258800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.161500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.037400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.038930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.097870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.021470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.010770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.159600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.067700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.055100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.041000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.025200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.010020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.050400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.175130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.243570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.048570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.313400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.104800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.034100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18569, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.075570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.028800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.014600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.007930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.121500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.026700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.043300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.038030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.095470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.072400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.061100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.048500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.034500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.010150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.052900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.185030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.014230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.072370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.042470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.008370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.331900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.002700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.121230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.188370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.050900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.016500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.036500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.163930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.235070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.004670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.308200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.126600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.096400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.061500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.021900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.093530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.138570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.184800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.158730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.219270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.280800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.054300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.048000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.260930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.175530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.164330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.151830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.138130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.122830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.105630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.086130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.036330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.004030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.378470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.298070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.287070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.274970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.261570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.246770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.230470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.212270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.191970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.168670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.141470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.109170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.498600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.413700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.359200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.341900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.322700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.301500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.277600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.249900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.217500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.178700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.131800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.074800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.005400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.011850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.095550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.027300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.007700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.285330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.275330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.264230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.251830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.238130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.222730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.205330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.162030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.134430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.101330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.501570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.424970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.414270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.339870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.319270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.295370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.267470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.234370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.194470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.146270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.087670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.016870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.649100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.568500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.557200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.544700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.530700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.515200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.497900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.478700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.457200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.432800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.404500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.371100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.331300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.283200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.224900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.154100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.068300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.286730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.275230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.248630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.233330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.216330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.176730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.153030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.125330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.092830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.053830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.434570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.422070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.408370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.393270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.337970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.315570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.290670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.229370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.143370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.086470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.682100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.572900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.558200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.541900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.504300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.482700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.458900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.432600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.403300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.369600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.330200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.283400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.226900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.158100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.074600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.014420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.040000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.030400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.019700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.418630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.340030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.317430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.304330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.273730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.255830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.235530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.212030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.184630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.152030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.502070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.490470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.477670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.463570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.447970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.430670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.411570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.390170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.366070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.338070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.305170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.266070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.218770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.161370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.757300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.668100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.655800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.642200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.627100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.610500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.592100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.571900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.549400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.495700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.462400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.423100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.318900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.249700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.064000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.033710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.139290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.077500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.059500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.022800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.466430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.391930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.381630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.343130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.327330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.309530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.238230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.205430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.118030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.060130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.645570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.566170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.555170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.542870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.529170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.514070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.497170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.478370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.457270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.433170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.405170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.372170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.332770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.285170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.227470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.157470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.072670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.828300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.744800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.733100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.720100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.705600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.689600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.671800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.652000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.630000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.605100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.576500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.543100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.503600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.456200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.398800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.244500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.142500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.018800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22509, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.009950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.053600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.188430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.262270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.086970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.337800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.150300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.119900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.085200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23233, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23241, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.030130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.064970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.101200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.031330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.089170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.005670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.149900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.073000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.061800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.049000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.017100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23726, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23734, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007892, 0.007892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24109, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008184, 0.008184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008070, 0.008070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24712, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002714, 0.002714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004972, 0.004972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25592, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002722, 0.002722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004363, 0.004363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26032, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002777, 0.002777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26862, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005672, 0.005672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002717, 0.002717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27214, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002666, 0.002666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002727, 0.002727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002746, 0.002746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008522, 0.008522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28796, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006088, 0.006088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005895, 0.005895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29711, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002655, 0.002655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005851, 0.005851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005574, 0.005574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002651, 0.002651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004850, 0.004850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004847, 0.004847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004755, 0.004755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31095, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002802, 0.002802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31847, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004952, 0.004952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002663, 0.002663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005383, 0.005383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33220, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005797, 0.005797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33865, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.074075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34023, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.046548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002883, 0.002883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002912, 0.002912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002781, 0.002781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005415, 0.005415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004293, 0.004293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002997, 0.002997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002733, 0.002733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011964, 0.011964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011099, 0.011099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011988, 0.011988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011123, 0.011123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008267, 0.008267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008053, 0.008053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002697, 0.002697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002837, 0.002837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002711, 0.002711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005638, 0.005638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6651, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33564, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002889, 0.002889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003095, 0.003095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.074302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.065348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.040406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.124391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.098804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.027321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.285464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.276332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.251150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.176459' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.444347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.435002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.408466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.331557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.113717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.029751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.016263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.213476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.198932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.162862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.067868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.411539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.396471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.358659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.262794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.998363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.980824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.939342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.842748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.569878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.314113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.295497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.251365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.154334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.881691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.078966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.634790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.615108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.569337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.469344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.199428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.393975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.024099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.003168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.954691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.854022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.583580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.781446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.285375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.263894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.214453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.112447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.843412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.047715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.944732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.921560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.870368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.763516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.492755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.701248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.609755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.585124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.530921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.419202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.151727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.358487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.006863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.168172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.156977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.130850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.065411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.368976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.357889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.331973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.266145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.070407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.943443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.931708' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.904785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.838845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.643627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.041193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.245383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.233253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.206076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.139832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.945326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.342278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.548844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.536577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.508483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.442246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.250230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.645999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020222, 0.020222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020466, 0.020466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.037316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.034675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.025681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.000551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004985, 0.004985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002971, 0.002971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.161363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.143259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.104732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.016348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.354115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.337089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.298800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.210256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.931700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.912579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.869812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.779239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.555064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.243449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.223207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.178356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.086565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.864000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.242030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.559691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.538524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.491752' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.399059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.174775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.560179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001990, 0.001990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001945, 0.001945) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_lowhv_5.5v_lv_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019286, 0.019286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018507, 0.018507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 217, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025097, 0.025097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024644, 0.024644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033833, 0.033833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032644, 0.032644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 600, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017427, 0.017427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017381, 0.017381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 792, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028876, 0.028876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.027996, 0.027996) will be assigned to the attribute. (LBDB-1054) +Warning: Line 990, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.008897, 0.008897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.008912, 0.008912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1188, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1756, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 1952, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.850180, 0.850180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.856414, 0.856414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 2169, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.120581, 0.120581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.143906, 0.143906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.098192, 0.098192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.176862, 0.176862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2397, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2409, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.866088, 1.866088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.531797, 2.531797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045986, 0.045986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045989, 0.045989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006731, 0.006731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006416, 0.006416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004949, 0.004949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006623, 0.006623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006308, 0.006308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 851, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028484, 0.028484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028373, 0.028373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1102, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033119, 0.033119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032530, 0.032530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1293, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052544, 0.052544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051707, 0.051707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1485, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016757, 0.016757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016651, 0.016651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1683, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037416, 0.037416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036384, 0.036384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.013330, 0.013330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012664, 0.012664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2134, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.007022, 0.007022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2328, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017673, 0.017673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017565, 0.017565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2519, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005610, 0.005610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005320, 0.005320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2778, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005769, 0.005769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005310, 0.005310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3338, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025261, 0.025261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024267, 0.024267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006928, 0.006928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006611, 0.006611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3781, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.020202, 0.020202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018312, 0.018312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3973, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033266, 0.033266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033142, 0.033142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4173, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007164, 0.007164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006867, 0.006867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4432, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014331, 0.014331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.014156, 0.014156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4691, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4979, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.790866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.783013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.764218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.721609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.620193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.377830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.007402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.999293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.980763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.937421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.836704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.593219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.001907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.404455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.396601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.377865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.335492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.233732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.989733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.399238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.656028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.654774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.629442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.589192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.486642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.241921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.651035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.649811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.642391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.622903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.581726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.477600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.234470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.643233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.096807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.088613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.070288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.028235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.926466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.683990' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.089942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.399565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.351770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.240139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.997404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.436911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.711765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.669102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.564377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.337051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-42.729706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.294090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.247391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.150139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.901314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.311928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.021637' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.909515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.658936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5239, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5747, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.760140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.752320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.726753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.665465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.494526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.976628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.967667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.943239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.881958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.711017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.182527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.373495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.364943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.340112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.278814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.107878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.579330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.625081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.618309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.592849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.530367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.359473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.830878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.140634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.619306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.610521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.585040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.523108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.352532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.823952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.133460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.066992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.056700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.030780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.971837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.800261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.271756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.588689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.357597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.288794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.129681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-27.601118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-25.899910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.704998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.695965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.687366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.638424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.437828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-42.912441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.223488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.250931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.188744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.018341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-112.496468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-110.804062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.061371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.957520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.855011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.274750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-383.605011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 6007, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.140543, 0.140543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.140242, 0.140242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.133581, 0.133581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.133241, 0.133241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rIae5IC/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' +Loading db file '/tmp/_pt1rfQMAfm/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' +Loading db file '/tmp/_pt1r4LPMTn/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rXwHz1v/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rC3sZmc/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rVSTizj/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rGLwFuy/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rbsvwbR/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rE3q3Dd/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rP0PlRD/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rGsyVO7/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28655_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28652_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28655_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28652_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28662_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28662_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28665_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28665_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28666_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28666_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28671_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28672_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28671_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28672_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28648_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_28648_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32088_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32088_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30338_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30338_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30339_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30339_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30340_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_30340_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1966/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1966/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1968/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1968/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1998/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1998/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2020/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2020/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_8) soc/fanout2042/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_8) soc/fanout2042/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2059/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2059/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2065/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2065/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2077/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2077/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2088/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2088/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14072_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14072_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1733/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1733/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1734/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1734/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1783/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1783/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1782/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1782/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1804/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1804/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1942/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1942/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1951/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1935/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1951/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1935/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1985/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1985/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkbuf_16) soc/fanout2024/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkbuf_16) soc/fanout2024/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2029/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2029/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2075/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2075/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59945. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/max/caravan.ff.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:25:12 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__inv_8) soc/_13759_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/input58/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (min rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__dfxtp_4) soc/_32040_/CLK-->Q (max rising & falling rising_edge) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__inv_8) soc/_13759_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1953/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output244/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ff.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ff_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ff_test.db' +Information: Elapsed time for lib file writing: 0 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 978 878 +DB-1 Error 12 12 +PARA-040 Warning 330243 329843 +RC-009 Warning 764 602 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 6 types of messages are suppressed +Maximum memory usage for this session: 2795.13 MB +CPU usage for this session: 208 seconds +Elapsed time for this session: 78 seconds +Diagnostics summary: 69 errors, 307 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! +There are max_transition violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/max/caravan.ff-all_viol.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-max-s-sta.log b/scripts/logs/caravan/caravan-max-s-sta.log new file mode 100644 index 00000000..a3ac0eab --- /dev/null +++ b/scripts/logs/caravan/caravan-max-s-sta.log @@ -0,0 +1,5520 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' ... +Warning: Line 6, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 6, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004604, 0.004604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004810, 0.004810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004366, 0.004366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007948, 0.007948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008095, 0.008095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008676, 0.008676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008760, 0.008760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004042, 0.004042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003997, 0.003997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004407, 0.004407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003993, 0.003993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009171, 0.009171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008480, 0.008480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009292, 0.009292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008823, 0.008823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001552, 0.001552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001250, 0.001250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001195, 0.001195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004419, 0.004419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004799, 0.004799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008039, 0.008039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009264, 0.009264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008550, 0.008550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008561, 0.008561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008558, 0.008558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008752, 0.008752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004280, 0.004280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004016, 0.004016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003921, 0.003921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003998, 0.003998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004439, 0.004439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004858, 0.004858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004391, 0.004391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008429, 0.008429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009232, 0.009232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008515, 0.008515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008458, 0.008458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009094, 0.009094) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008717, 0.008717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007670, 0.007670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002106, 0.002106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004827, 0.004827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004457, 0.004457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004389, 0.004389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003906, 0.003906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008320, 0.008320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007826, 0.007826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008689, 0.008689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007949, 0.007949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007774, 0.007774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008773, 0.008773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007778, 0.007778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001257, 0.001257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004920, 0.004920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004554, 0.004554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004797, 0.004797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004450, 0.004450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004526, 0.004526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004080, 0.004080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004312, 0.004312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008831, 0.008831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008146, 0.008146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008511, 0.008511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008500, 0.008500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007986, 0.007986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004055, 0.004055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004031, 0.004031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003988, 0.003988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004447, 0.004447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007924, 0.007924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008664, 0.008664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008557, 0.008557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007680, 0.007680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008765, 0.008765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007690, 0.007690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004059, 0.004059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004944, 0.004944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008433, 0.008433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008010, 0.008010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007897, 0.007897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008713, 0.008713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004090, 0.004090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004349, 0.004349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004506, 0.004506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004135, 0.004135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004272, 0.004272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003928, 0.003928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008329, 0.008329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007936, 0.007936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008306, 0.008306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007745, 0.007745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008402, 0.008402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008771, 0.008771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007742, 0.007742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004005, 0.004005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004443, 0.004443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004169, 0.004169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004009, 0.004009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004123, 0.004123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008377, 0.008377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007919, 0.007919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008352, 0.008352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007874, 0.007874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001379, 0.001379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001384, 0.001384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001378, 0.001378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001498, 0.001498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001429, 0.001429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001493, 0.001493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001468, 0.001468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001460, 0.001460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001424, 0.001424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001420, 0.001420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001464, 0.001464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002040, 0.002040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008992, 0.008992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013361, 0.013361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012231, 0.012231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006854, 0.006854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006307, 0.006307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006807, 0.006807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006262, 0.006262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002035, 0.002035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001919, 0.001919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007578, 0.007578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006758, 0.006758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002014, 0.002014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002057, 0.002057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002052, 0.002052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002003, 0.002003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002810, 0.002810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039236, 0.039236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.034593, 0.034593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005301, 0.005301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010420, 0.010420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020650, 0.020650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018288, 0.018288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004499, 0.004499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003914, 0.003914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008666, 0.008666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007504, 0.007504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 48147, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 48167, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 48176, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003274, 0.003274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003059, 0.003059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48452, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001507, 0.001507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001422, 0.001422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48523, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48581, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003185, 0.003185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49228, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49299, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49357, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003031, 0.003031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50004, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50075, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50133, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001500, 0.001500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003181, 0.003181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003166, 0.003166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50732, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50763, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003183, 0.003183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51214, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51245, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001829, 0.001829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51696, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51727, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003150, 0.003150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52051, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52082, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003169, 0.003169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52406, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52437, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003187, 0.003187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003159, 0.003159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52761, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52792, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53090, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002118, 0.002118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003060, 0.003060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53465, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53572, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003089, 0.003089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002933, 0.002933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53947, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54054, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54302, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54409, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002981, 0.002981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54657, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54764, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003012, 0.003012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002975, 0.002975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55012, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55102, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55422, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55742, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55979, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56216, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001441, 0.001441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001020, 0.001020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000970, 0.000970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56485, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003872, 0.003872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56588, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56721, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003853, 0.003853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56824, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56957, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004623, 0.004623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57060, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57246, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57337, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57924, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58015, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58602, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001678, 0.001678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58693, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59280, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001596, 0.001596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59371, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59958, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60049, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60405, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60496, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60852, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60943, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61299, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61390, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61746, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61837, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62193, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001659, 0.001659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62284, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62657, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63113, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63569, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64025, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64324, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64623, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001663, 0.001663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64922, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.532146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001759, 0.001759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003206, 0.003206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002869, 0.002869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.066298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.756429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003731, 0.003731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.550320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.534800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007326, 0.007326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006335, 0.006335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.110567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.575594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.550158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.195659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011551, 0.011551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009770, 0.009770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67263, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003109, 0.003109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67592, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003038, 0.003038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.384578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.601450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003081, 0.003081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.069015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.475774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.472299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.462907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.408319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.595829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.594581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.591913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003640, 0.003640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.048371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.381203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008356, 0.008356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007117, 0.007117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006111, 0.006111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.623284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.621385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.620221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016865, 0.016865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015503, 0.015503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011194, 0.011194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009428, 0.009428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.446301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.690382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.508987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.657669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.653171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.650483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003462, 0.003462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.768272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008525, 0.008525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005531, 0.005531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005902, 0.005902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.018540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.123548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.457073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.454167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.433003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429673' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.630061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.602579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016772, 0.016772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015476, 0.015476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008164, 0.008164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009075, 0.009075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.430031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.427589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006433, 0.006433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006192, 0.006192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005830, 0.005830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005597, 0.005597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004353, 0.004353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007553, 0.007553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007161, 0.007161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006893, 0.006893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006524, 0.006524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004979, 0.004979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004865, 0.004865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007566, 0.007566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007172, 0.007172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006927, 0.006927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006552, 0.006552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005006, 0.005006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006760, 0.006760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006438, 0.006438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006136, 0.006136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004742, 0.004742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007973, 0.007973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002868, 0.002868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002678, 0.002678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003207, 0.003207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003153, 0.003153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003147, 0.003147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009380, 0.009380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008748, 0.008748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007950, 0.007950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.025860, 0.025860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.023989, 0.023989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.033453, 0.033453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031064, 0.031064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004413, 0.004413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008899, 0.008899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013140, 0.013140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012210, 0.012210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017473, 0.017473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016230, 0.016230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002034, 0.002034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007572, 0.007572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006777, 0.006777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002004, 0.002004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003587, 0.003587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003058, 0.003058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002752, 0.002752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039514, 0.039514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035028, 0.035028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005315, 0.005315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004722, 0.004722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010442, 0.010442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009267, 0.009267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020716, 0.020716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018410, 0.018410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79460, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008847, 0.008847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.033355, 0.033355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.030009, 0.030009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004322, 0.004322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017115, 0.017115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015411, 0.015411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008792, 0.008792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008139, 0.008139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005621, 0.005621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005706, 0.005706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81857, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81877, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002602, 0.002602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002822, 0.002822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003189, 0.003189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002990, 0.002990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003026, 0.003026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002742, 0.002742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003851, 0.003851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004692, 0.004692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003082, 0.003082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004917, 0.004917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005267, 0.005267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.007885, 0.007885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007356, 0.007356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002113, 0.002113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004616, 0.004616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003915, 0.003915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006427, 0.006427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.005981, 0.005981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008314, 0.008314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007587, 0.007587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008472, 0.008472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011118, 0.011118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010415, 0.010415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001390, 0.001390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.003799, 0.003799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003539, 0.003539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005359, 0.005359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004969, 0.004969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002950, 0.002950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001634, 0.001634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005370, 0.005370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004971, 0.004971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003100, 0.003100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008467, 0.008467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008009, 0.008009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008842, 0.008842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008111, 0.008111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016845, 0.016845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015925, 0.015925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017293, 0.017293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015826, 0.015826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001309, 0.001309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001241, 0.001241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001213, 0.001213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001163, 0.001163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008884, 0.008884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004297, 0.004297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008588, 0.008588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008055, 0.008055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008089, 0.008089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001221, 0.001221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004516, 0.004516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008508, 0.008508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007958, 0.007958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008394, 0.008394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008021, 0.008021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008554, 0.008554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004184, 0.004184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004160, 0.004160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008509, 0.008509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008806, 0.008806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001367, 0.001367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004442, 0.004442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002355, 0.002355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008384, 0.008384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007858, 0.007858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008548, 0.008548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007857, 0.007857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008712, 0.008712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008790, 0.008790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017014, 0.017014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015723, 0.015723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017164, 0.017164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015427, 0.015427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008686, 0.008686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008022, 0.008022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009177, 0.009177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008608, 0.008608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007673, 0.007673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001145, 0.001145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008774, 0.008774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007763, 0.007763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004424, 0.004424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003894, 0.003894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007992, 0.007992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008761, 0.008761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007664, 0.007664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008818, 0.008818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007727, 0.007727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001298, 0.001298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007814, 0.007814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008654, 0.008654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001350, 0.001350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001242, 0.001242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001285, 0.001285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001225, 0.001225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008665, 0.008665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008011, 0.008011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007868, 0.007868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004397, 0.004397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004125, 0.004125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004731, 0.004731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004354, 0.004354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002121, 0.002121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003968, 0.003968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004308, 0.004308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008738, 0.008738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008037, 0.008037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007901, 0.007901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008321, 0.008321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008006, 0.008006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004894, 0.004894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004537, 0.004537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004966, 0.004966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004054, 0.004054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004381, 0.004381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008385, 0.008385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007772, 0.007772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008430, 0.008430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007978, 0.007978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004869, 0.004869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004852, 0.004852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004350, 0.004350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004108, 0.004108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009185, 0.009185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008464, 0.008464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008144, 0.008144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001268, 0.001268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001215, 0.001215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001238, 0.001238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004402, 0.004402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001200, 0.001200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008900, 0.008900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008208, 0.008208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003948, 0.003948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004758, 0.004758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004477, 0.004477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004368, 0.004368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004300, 0.004300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004416, 0.004416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004886, 0.004886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009191, 0.009191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008452, 0.008452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007748, 0.007748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008979, 0.008979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008344, 0.008344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007574, 0.007574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007994, 0.007994) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002466, 0.002466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004837, 0.004837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004853, 0.004853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004290, 0.004290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004382, 0.004382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004013, 0.004013) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008443, 0.008443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008709, 0.008709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007759, 0.007759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001311, 0.001311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001339, 0.001339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004845, 0.004845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002172, 0.002172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004866, 0.004866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004823, 0.004823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003970, 0.003970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008776, 0.008776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008060, 0.008060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008724, 0.008724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008268, 0.008268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008018, 0.008018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007753, 0.007753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002412, 0.002412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004461, 0.004461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004008, 0.004008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002129, 0.002129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002306, 0.002306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004138, 0.004138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004481, 0.004481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004207, 0.004207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008685, 0.008685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008005, 0.008005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007775, 0.007775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008886, 0.008886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007827, 0.007827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008415, 0.008415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004438, 0.004438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004012, 0.004012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008688, 0.008688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008672, 0.008672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007786, 0.007786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008999, 0.008999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008073, 0.008073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004067, 0.004067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003930, 0.003930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004478, 0.004478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003989, 0.003989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004018, 0.004018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008076, 0.008076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007630, 0.007630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007767, 0.007767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008455, 0.008455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007982, 0.007982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002102, 0.002102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003868, 0.003868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003877, 0.003877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004224, 0.004224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003882, 0.003882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003858, 0.003858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003824, 0.003824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008698, 0.008698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008029, 0.008029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007765, 0.007765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008652, 0.008652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007710, 0.007710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008606, 0.008606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008229, 0.008229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001325, 0.001325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001233, 0.001233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002114, 0.002114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001385, 0.001385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001260, 0.001260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001210, 0.001210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001410, 0.001410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001341, 0.001341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001252, 0.001252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001192, 0.001192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002090, 0.002090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001269, 0.001269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001327, 0.001327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001275, 0.001275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001334, 0.001334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001322, 0.001322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001503, 0.001503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001258, 0.001258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001256, 0.001256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006894, 0.006894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006344, 0.006344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006912, 0.006912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006357, 0.006357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003188, 0.003188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003119, 0.003119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148513, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148584, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148642, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003178, 0.003178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003023, 0.003023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149689, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149760, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149818, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003114, 0.003114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150865, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150936, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150994, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003134, 0.003134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151925, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151956, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003135, 0.003135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152742, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152773, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001834, 0.001834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003263, 0.003263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153549, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153580, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003144, 0.003144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003117, 0.003117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154229, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154260, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003803, 0.003803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003136, 0.003136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154909, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154940, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155589, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155620, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156219, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003629, 0.003629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156717, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157002, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003776, 0.003776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002968, 0.002968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003029, 0.003029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157500, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157780, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001812, 0.001812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003691, 0.003691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002931, 0.002931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158151, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158431, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158802, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159082, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002927, 0.002927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159453, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159669, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003545, 0.003545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160238, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003548, 0.003548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003405, 0.003405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160802, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161283, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161764, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003463, 0.003463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003323, 0.003323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162132, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162235, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162455, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003070, 0.003070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162558, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162778, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162881, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163516, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003411, 0.003411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002955, 0.002955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164273, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003107, 0.003107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003057, 0.003057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164942, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003331, 0.003331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165611, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003289, 0.003289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002958, 0.002958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166280, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003033, 0.003033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016878, 0.016878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015722, 0.015722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016016, 0.016016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015480, 0.015480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005377, 0.005377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005190, 0.005190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003434, 0.003434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003270, 0.003270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005188, 0.005188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003271, 0.003271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004378, 0.004378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009035, 0.009035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008283, 0.008283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007804, 0.007804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017537, 0.017537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016337, 0.016337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015428, 0.015428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014483, 0.014483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003441, 0.003441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003276, 0.003276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005186, 0.005186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003443, 0.003443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003277, 0.003277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005371, 0.005371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003422, 0.003422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56383, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66995, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67407, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76773, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 148072, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149248, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 150424, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 163023, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163780, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164537, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165206, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165875, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ss_1.40v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 4489, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4698, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4884, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5106, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5315, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5524, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 5555, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 5576, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 5584, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007147, 0.007147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007012, 0.007012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5746, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007294, 0.007294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007250, 0.007250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6349, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004241, 0.004241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7229, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003783, 0.003783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7669, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Information: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', No internal_power information for the 'sky130_fd_sc_hvl__diode_2' cell. (LBDB-301) +Warning: Line 8451, Cell 'sky130_fd_sc_hvl__diode_2', pin 'DIODE', The pin 'DIODE' does not have a internal_power group. (LBDB-607) +Warning: Line 8534, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005259, 0.005259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005005, 0.005005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8886, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9865, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.144430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.273670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.411600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10234, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.008700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.247330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.390070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.541400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10690, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 10876, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11062, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11248, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11434, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22486, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007700, 0.007700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22748, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004629, 0.004629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007177, 0.007177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007025, 0.007025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23667, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004766, 0.004766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001970, 0.001970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002021, 0.002021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003873, 0.003873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24856, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004052, 0.004052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25780, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002045, 0.002045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003889, 0.003889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002137, 0.002137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003910, 0.003910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27160, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005256, 0.005256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004957, 0.004957) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002654, 0.002654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004449, 0.004449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010960, 0.010960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010209, 0.010209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010983, 0.010983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010232, 0.010232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007420, 0.007420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007457, 0.007457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002881, 0.002881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005336, 0.005336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004820, 0.004820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27504, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ss_3.00v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018530, 0.018530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017760, 0.017760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025026, 0.025026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024734, 0.024734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032815, 0.032815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031560, 0.031560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017514, 0.017514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.029344, 0.029344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028709, 0.028709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009877, 0.009877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009906, 0.009906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.990185, 0.990185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.991811, 0.991811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.097971, 0.097971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.128263, 0.128263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.084276, 0.084276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.165904, 0.165904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.681037, 1.681037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.280628, 2.280628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050068, 0.050068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050067, 0.050067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045975, 0.045975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045964, 0.045964) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006406, 0.006406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006101, 0.006101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006303, 0.006303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.005993, 0.005993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028238, 0.028238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028330, 0.028330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.032997, 0.032997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032246, 0.032246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052331, 0.052331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051232, 0.051232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016839, 0.016839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016640, 0.016640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037125, 0.037125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.035950, 0.035950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012274, 0.012274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.011943, 0.011943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006697, 0.006697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006389, 0.006389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017754, 0.017754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017546, 0.017546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005264, 0.005264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005643, 0.005643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.024920, 0.024920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.023840, 0.023840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006603, 0.006603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006311, 0.006311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018759, 0.018759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017072, 0.017072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033160, 0.033160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033120, 0.033120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.006838, 0.006838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006553, 0.006553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.013998, 0.013998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013853, 0.013853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1858, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.153863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.139519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.110147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.048725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.927259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.674201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.142458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.553693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.534817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.509755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.437821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.316123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.063051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-13.542736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.610325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.586590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.544838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.496433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.395439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.121498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.585594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.241135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.065567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.014389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.709442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.172791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2255, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.106228' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.093183' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.038794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.927276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.675565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.090203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.545608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.495994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.479626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.439888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.313647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.076580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-13.480126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.933656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.495136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.363235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.140987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.536327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.993378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115555' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.054886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.941315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.723587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.124252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-163.561646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.155824, 0.155824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.154452, 0.154452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.148497, 0.148497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.147747, 0.147747) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rgosuFQ/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' +Loading db file '/tmp/_pt1r8lEs9x/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1reSyXLu/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rM51DDw/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1ruyTYYC/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rMKWewN/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rgOQ8X1/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1r69Jqgk/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rY3M2mG/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1r2kr8g6/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj2_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for rstb_level/lvlshiftdown/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69323 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ss_1.40v_100C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ss_1.40v_100C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59816. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/max/caravan.ss.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:21:22 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 2 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ss.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ss_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.ss_test.db' +Information: Elapsed time for lib file writing: 1 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 977 877 +DB-1 Error 11 11 +PARA-040 Warning 330243 329843 +LNK-043 Information 190193 190093 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2792.14 MB +CPU usage for this session: 212 seconds +Elapsed time for this session: 72 seconds +Diagnostics summary: 69 errors, 149 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Failed! +There are setup violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/max/caravan.ss-global.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-max-t-sta.log b/scripts/logs/caravan/caravan-max-t-sta.log new file mode 100644 index 00000000..59afc9fa --- /dev/null +++ b/scripts/logs/caravan/caravan-max-t-sta.log @@ -0,0 +1,5994 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002558, 0.002558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002636, 0.002636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004041, 0.004041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004700, 0.004700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002456, 0.002456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004981, 0.004981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004693, 0.004693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005098, 0.005098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004768, 0.004768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.031164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.008511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008747, 0.008747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009158, 0.009158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009027, 0.009027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007879, 0.007879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009050, 0.009050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007722, 0.007722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007686, 0.007686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005009, 0.005009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004771, 0.004771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.022793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.009354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004504, 0.004504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004635, 0.004635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003990, 0.003990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.050150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.041715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.022543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008120, 0.008120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008669, 0.008669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009735, 0.009735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008492, 0.008492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009248, 0.009248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007905, 0.007905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001290, 0.001290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001653, 0.001653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008232, 0.008232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009711, 0.009711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008759, 0.008759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004584, 0.004584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004216, 0.004216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004558, 0.004558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.045583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.030379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005052, 0.005052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.058600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.048449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.025076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008917, 0.008917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009710, 0.009710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008767, 0.008767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007966, 0.007966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002084, 0.002084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002067, 0.002067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004660, 0.004660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004096, 0.004096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003942, 0.003942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003945, 0.003945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.013339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.001996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004479, 0.004479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005000, 0.005000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004532, 0.004532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005071, 0.005071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.031301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.023484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.004918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008779, 0.008779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008063, 0.008063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009626, 0.009626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008769, 0.008769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007834, 0.007834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009413, 0.009413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009150, 0.009150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007685, 0.007685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005032, 0.005032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005223, 0.005223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004403, 0.004403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004585, 0.004585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.014818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009081, 0.009081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008153, 0.008153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008795, 0.008795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007882, 0.007882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009204, 0.009204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001795, 0.001795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005141, 0.005141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004658, 0.004658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004539, 0.004539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002647, 0.002647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004857, 0.004857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009229, 0.009229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008295, 0.008295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009424, 0.009424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008086, 0.008086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009633, 0.009633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008728, 0.008728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004489, 0.004489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003929, 0.003929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003883, 0.003883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004015, 0.004015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003961, 0.003961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.038276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.012158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008647, 0.008647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008215, 0.008215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008134, 0.008134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009046, 0.009046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008163, 0.008163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009218, 0.009218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002458, 0.002458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004454, 0.004454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004941, 0.004941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004543, 0.004543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004814, 0.004814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.025260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.010609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004519, 0.004519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.055740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.045831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.022251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008186, 0.008186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008725, 0.008725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008109, 0.008109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009082, 0.009082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009156, 0.009156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007865, 0.007865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002656, 0.002656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004441, 0.004441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004739, 0.004739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003949, 0.003949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.001095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004730, 0.004730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003983, 0.003983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.013524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.002596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008539, 0.008539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008536, 0.008536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007916, 0.007916) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008732, 0.008732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004328, 0.004328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004082, 0.004082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004565, 0.004565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004228, 0.004228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004164, 0.004164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004896, 0.004896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.018457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.001893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004250, 0.004250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004201, 0.004201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004821, 0.004821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.047026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.037099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.013469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008512, 0.008512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008127, 0.008127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008126, 0.008126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008640, 0.008640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007928, 0.007928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008986, 0.008986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009175, 0.009175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007784, 0.007784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001403, 0.001403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001614, 0.001614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001511, 0.001511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001490, 0.001490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001508, 0.001508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001605, 0.001605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001807, 0.001807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004380, 0.004380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007156, 0.007156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006413, 0.006413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007894, 0.007894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006900, 0.006900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002055, 0.002055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002048, 0.002048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003251, 0.003251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002903, 0.002903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040395, 0.040395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035097, 0.035097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005516, 0.005516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010900, 0.010900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009536, 0.009536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021581, 0.021581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018840, 0.018840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004881, 0.004881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009398, 0.009398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007975, 0.007975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003556, 0.003556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003378, 0.003378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001866, 0.001866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003349, 0.003349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003619, 0.003619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003495, 0.003495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003609, 0.003609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003493, 0.003493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001871, 0.001871) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003612, 0.003612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003638, 0.003638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003484, 0.003484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003424, 0.003424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003437, 0.003437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003420, 0.003420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003305, 0.003305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003419, 0.003419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003300, 0.003300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000900, 0.000900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000857, 0.000857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001756, 0.001756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004680, 0.004680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002598, 0.002598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001840, 0.001840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001822, 0.001822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003338, 0.003338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.023197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.103743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001893, 0.001893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003755, 0.003755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.016831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.014335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.005712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.094351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.088057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007476, 0.007476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006259, 0.006259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011596, 0.011596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009482, 0.009482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003229, 0.003229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002778, 0.002778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004314, 0.004314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.014655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008655, 0.008655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007284, 0.007284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006081, 0.006081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.048815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.034621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.000559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.012612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.010011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.005340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.081167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.076063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017495, 0.017495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015744, 0.015744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011257, 0.011257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009174, 0.009174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.055534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.045856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.020443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.001195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.038353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.015109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005896, 0.005896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006151, 0.006151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.043313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.028277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.064556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.014094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017385, 0.017385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015686, 0.015686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009420, 0.009420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.051780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.041999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.015286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.070858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.061342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.035868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006896, 0.006896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006561, 0.006561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006181, 0.006181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005872, 0.005872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007445, 0.007445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007176, 0.007176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006732, 0.006732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005159, 0.005159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005020, 0.005020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007951, 0.007951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007450, 0.007450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007199, 0.007199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006756, 0.006756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005033, 0.005033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004923, 0.004923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006956, 0.006956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006450, 0.006450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006689, 0.006689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006176, 0.006176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004960, 0.004960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008812, 0.008812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008072, 0.008072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004940, 0.004940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004472, 0.004472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002832, 0.002832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002844, 0.002844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003604, 0.003604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003355, 0.003355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003282, 0.003282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003272, 0.003272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009705, 0.009705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008914, 0.008914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008024, 0.008024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.005217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.000923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.081672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.075048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.053420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027227, 0.027227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024796, 0.024796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.003564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.080721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.075057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.056606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.000914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.034999, 0.034999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031884, 0.031884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.027560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.053742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.039839' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.003689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009408, 0.009408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.002576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.071734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.060923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.030405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013888, 0.013888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012656, 0.012656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.002589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.072666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.063999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.037824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018467, 0.018467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006955, 0.006955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002842, 0.002842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040723, 0.040723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035810, 0.035810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005526, 0.005526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004849, 0.004849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010924, 0.010924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009576, 0.009576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021645, 0.021645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019033, 0.019033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.066967' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.062734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.049776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.013577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009203, 0.009203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034647, 0.034647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029999, 0.029999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.071276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.064590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.046385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017977, 0.017977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015617, 0.015617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008286, 0.008286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006037, 0.006037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006058, 0.006058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005975, 0.005975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002659, 0.002659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002959, 0.002959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002819, 0.002819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003863, 0.003863) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003573, 0.003573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004404, 0.004404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003870, 0.003870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001805, 0.001805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003285, 0.003285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003078, 0.003078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002130, 0.002130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005372, 0.005372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004915, 0.004915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005045, 0.005045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004490, 0.004490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005398, 0.005398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004803, 0.004803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007498, 0.007498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004829, 0.004829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004098, 0.004098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003937, 0.003937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006716, 0.006716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006107, 0.006107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008585, 0.008585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007616, 0.007616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007809, 0.007809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011598, 0.011598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010652, 0.010652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004089, 0.004089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002744, 0.002744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005766, 0.005766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003279, 0.003279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005774, 0.005774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005150, 0.005150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003283, 0.003283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.002888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004304, 0.004304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.025064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.011335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.007832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008796, 0.008796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008279, 0.008279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.028073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.018930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.015941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.008018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017428, 0.017428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016439, 0.016439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018096, 0.018096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016314, 0.016314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001317, 0.001317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004346, 0.004346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.007935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009311, 0.009311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004281, 0.004281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008285, 0.008285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009225, 0.009225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008326, 0.008326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001504, 0.001504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004665, 0.004665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004212, 0.004212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008778, 0.008778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008167, 0.008167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004274, 0.004274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008696, 0.008696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008878, 0.008878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008188, 0.008188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009202, 0.009202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004292, 0.004292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004251, 0.004251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008736, 0.008736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008273, 0.008273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008983, 0.008983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008281, 0.008281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008277, 0.008277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001608, 0.001608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004284, 0.004284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004696, 0.004696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008258, 0.008258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009201, 0.009201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008284, 0.008284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.022069' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004611, 0.004611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.059367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.023511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009289, 0.009289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008085, 0.008085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.071037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.064388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.045444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017855, 0.017855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016099, 0.016099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018032, 0.018032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015685, 0.015685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004675, 0.004675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.050968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.042379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009128, 0.009128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008219, 0.008219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009629, 0.009629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009008, 0.009008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001236, 0.001236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009197, 0.009197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007832, 0.007832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004006, 0.004006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004668, 0.004668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003926, 0.003926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.032950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.010231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008152, 0.008152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009170, 0.009170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007697, 0.007697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009298, 0.009298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007788, 0.007788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004681, 0.004681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001381, 0.001381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009069, 0.009069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009032, 0.009032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007725, 0.007725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001340, 0.001340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001314, 0.001314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009102, 0.009102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002581, 0.002581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002543, 0.002543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005034, 0.005034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004528, 0.004528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004023, 0.004023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004288, 0.004288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009109, 0.009109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009053, 0.009053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007812, 0.007812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008815, 0.008815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008488, 0.008488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008235, 0.008235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005106, 0.005106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005156, 0.005156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004687, 0.004687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004448, 0.004448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009525, 0.009525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008587, 0.008587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009423, 0.009423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008708, 0.008708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005077, 0.005077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004749, 0.004749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004689, 0.004689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001818, 0.001818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001604, 0.001604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002018, 0.002018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.003033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005070, 0.005070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004720, 0.004720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.018260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.007831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009611, 0.009611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008678, 0.008678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008993, 0.008993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004617, 0.004617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004595, 0.004595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004715, 0.004715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.009966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008437, 0.008437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007904, 0.007904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004922, 0.004922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005074, 0.005074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009584, 0.009584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008631, 0.008631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009093, 0.009093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009239, 0.009239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008592, 0.008592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008576, 0.008576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005035, 0.005035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004555, 0.004555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005024, 0.005024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004574, 0.004574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008635, 0.008635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009097, 0.009097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007898, 0.007898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009252, 0.009252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008607, 0.008607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007887, 0.007887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001358, 0.001358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001529, 0.001529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001432, 0.001432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005110, 0.005110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005064, 0.005064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002593, 0.002593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.002321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005125, 0.005125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004645, 0.004645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007212' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008519, 0.008519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009079, 0.009079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007889, 0.007889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004208, 0.004208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004825, 0.004825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001981, 0.001981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008200, 0.008200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007845, 0.007845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009251, 0.009251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007842, 0.007842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008746, 0.008746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008282, 0.008282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005116, 0.005116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004587, 0.004587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003918, 0.003918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004734, 0.004734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002672, 0.002672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002665, 0.002665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004662, 0.004662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003960, 0.003960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004540, 0.004540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008155, 0.008155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009033, 0.009033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009400, 0.009400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008789, 0.008789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008335, 0.008335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003936, 0.003936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004029, 0.004029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009062, 0.009062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008256, 0.008256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008802, 0.008802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007701, 0.007701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009123, 0.009123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007811, 0.007811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008092, 0.008092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004248, 0.004248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003875, 0.003875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003856, 0.003856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004305, 0.004305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004634, 0.004634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009071, 0.009071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008980, 0.008980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007846, 0.007846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007711, 0.007711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008824, 0.008824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008476, 0.008476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001288, 0.001288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001446, 0.001446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001267, 0.001267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001436, 0.001436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001261, 0.001261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002625, 0.002625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001400, 0.001400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001347, 0.001347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007235, 0.007235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006481, 0.006481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007255, 0.007255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003521, 0.003521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003347, 0.003347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002755, 0.002755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003510, 0.003510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003339, 0.003339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001846, 0.001846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001835, 0.001835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001682, 0.001682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002747, 0.002747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003541, 0.003541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003482, 0.003482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003475, 0.003475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003514, 0.003514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004053, 0.004053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003315, 0.003315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003528, 0.003528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003530, 0.003530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003533, 0.003533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003516, 0.003516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002037, 0.002037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001862, 0.001862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003388, 0.003388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003371, 0.003371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002068, 0.002068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003265, 0.003265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003264, 0.003264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003379, 0.003379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003261, 0.003261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003555, 0.003555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001914, 0.001914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003192, 0.003192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001905, 0.001905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003793, 0.003793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003496, 0.003496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001750, 0.001750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003800, 0.003800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001910, 0.001910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004486, 0.004486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001923, 0.001923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001843, 0.001843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003176, 0.003176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002982, 0.002982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003173, 0.003173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009040, 0.009040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008318, 0.008318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008077, 0.008077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017467, 0.017467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016065, 0.016065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016264, 0.016264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015593, 0.015593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003361, 0.003361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003597, 0.003597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005438, 0.005438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005122, 0.005122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009376, 0.009376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008584, 0.008584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008493, 0.008493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007837, 0.007837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018110, 0.018110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016609, 0.016609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015755, 0.015755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014412, 0.014412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003600, 0.003600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003370, 0.003370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005123, 0.005123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005432, 0.005432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005117, 0.005117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008751, 0.008751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.006150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.001544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014308, 0.014308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012970, 0.012970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007337, 0.007337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006676, 0.006676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006057, 0.006057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005982, 0.005982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__tt_1.80v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.039730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.079170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.120100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.142970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.198900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.138500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.025970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.038830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.136000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.030470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.073200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5204, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5401, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5575, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5784, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5981, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6178, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6187, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6207, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6215, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6670, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.006170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.033700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.057400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.326430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.482470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.645700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.002000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7071, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.003770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.030600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.074660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.182900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.528130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.118230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.062630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.001330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.721770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.253570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.190370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.120670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.043870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.921900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.399100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.328900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.251500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.166300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.072600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7551, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.051830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.027630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.018830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.008630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.116370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.083770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.070770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.062570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.053070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.156500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.127300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.115400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.107900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7725, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.003430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.022470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7899, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.034430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.092100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8073, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.063630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.003930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.098770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.043770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.034070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.009770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.039900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.023900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8247, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.124570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.174400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.004200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.131730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.203370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.277100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.036030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.083970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.141300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20712, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.030830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.082270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21423, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.148900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.058870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.154300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.038100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.001500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.199100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.082900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.046000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.023500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.071870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22900, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22908, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23393, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23401, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007535, 0.007535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007584, 0.007584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23776, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007693, 0.007693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24379, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25259, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002578, 0.002578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25699, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26529, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002083, 0.002083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26881, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002675, 0.002675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008241, 0.008241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28463, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005167, 0.005167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007660, 0.007660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007683, 0.007683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004861, 0.004861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002081, 0.002081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003835, 0.003835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004467, 0.004467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30762, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003805, 0.003805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31515, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32888, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005286, 0.005286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002774, 0.002774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002698, 0.002698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011656, 0.011656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010777, 0.010777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011678, 0.011678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010801, 0.010801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007679, 0.007679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007674, 0.007674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002967, 0.002967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002708, 0.002708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005448, 0.005448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004932, 0.004932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6446, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33232, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002638, 0.002638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003098, 0.003098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002878, 0.002878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001995, 0.001995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.225209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.201525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.146980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.026721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.391704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.365939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.307410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.184832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.566240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.539411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.479823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.352481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.052758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.784736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.755736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.691661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.562689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.261020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.934375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.904735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.839428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.708181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.404529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.318747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.286158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.216248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.078129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.773060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.718476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.683188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.607975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.463622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.156201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.341636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.049593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.033549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.387148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.370600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.332328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.243748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.017454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.572442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.555684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.516856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.427263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.201147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.762012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.744896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.705123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.614912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.388999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002758, 0.002758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020209, 0.020209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020523, 0.020523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002860, 0.002860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002719, 0.002719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.086021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.058984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.001293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.244441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.216012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.155736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.037036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.412861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.383811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.320781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.200201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_lowhv_3.3v_lv_1.8v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018729, 0.018729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017917, 0.017917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.024898, 0.024898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024519, 0.024519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032961, 0.032961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031456, 0.031456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017493, 0.017493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017367, 0.017367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028834, 0.028834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028032, 0.028032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009441, 0.009441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009463, 0.009463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.930286, 0.930286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.934543, 0.934543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.109474, 0.109474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.136220, 0.136220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.091868, 0.091868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.171782, 0.171782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.781897, 1.781897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.416589, 2.416589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050119, 0.050119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050121, 0.050121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045921, 0.045921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045944, 0.045944) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006624, 0.006624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006255, 0.006255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006515, 0.006515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006147, 0.006147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028245, 0.028245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028236, 0.028236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033150, 0.033150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032418, 0.032418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052525, 0.052525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051413, 0.051413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016681, 0.016681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037418, 0.037418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036167, 0.036167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012603, 0.012603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012006, 0.012006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006908, 0.006908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006543, 0.006543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017763, 0.017763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017592, 0.017592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005502, 0.005502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005179, 0.005179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005650, 0.005650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005053, 0.005053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025205, 0.025205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024019, 0.024019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006821, 0.006821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006458, 0.006458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019112, 0.019112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033093, 0.033093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032927, 0.032927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007059, 0.007059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014241, 0.014241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013990, 0.013990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.042757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.032270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.012360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.439434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.429079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.404242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.355781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.245215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.043051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.030795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.010942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.959405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.849240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.598452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.029980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.101233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.048019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.948344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.686680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.333830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.249725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.155670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.889290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.351507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.749252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.644386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.354912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-50.822262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.218994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.208313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.185562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.149628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.992172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.805222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.237244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.374786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.361370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.325778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.236634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.020012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.978591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.965514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.929597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.840463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.627314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.060942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.066889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.064111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.017964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.940091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.722996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.160610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.541795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.219913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.131351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.948118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.368265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-15.748919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.775383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.759960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.701820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.635288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.408398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-50.855423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-49.236000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.155136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.016846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.835297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.205658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-182.585785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.149251, 0.149251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.147827, 0.147827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4733, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.142339, 0.142339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.140989, 0.140989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rqJPGma/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' +Loading db file '/tmp/_pt1rBQcXAg/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' +Loading db file '/tmp/_pt1rAU3xRC/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rvIXPT4/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rsweBRA/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rjFlB4a/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rKan3gP/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1r5XlQex/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1raGFvYi/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rDATtu8/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1r0yGlO1/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan: +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1998/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1998/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2020/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2020/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2077/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2077/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__clkinv_16) soc/_14072_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__clkinv_16) soc/_14072_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1734/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1734/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1951/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1951/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1678/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1678/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1685/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1685/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1691/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1691/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1695/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1695/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1699/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1699/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1703/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1703/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1705/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1705/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1707/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1707/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1732/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1732/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1741/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1741/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1748/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1748/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1753/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1753/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1755/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1755/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1647/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1647/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1767/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1767/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1772/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1772/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1784/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1784/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1793/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1793/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1796/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1796/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1852/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1852/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1421/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1421/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1429/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1429/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59873. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/max/caravan.tt.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:17:38 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.tt.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.tt_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/max/caravan.tt_test.db' +Information: Elapsed time for lib file writing: 1 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 978 878 +DB-1 Error 12 12 +PARA-040 Warning 330243 329843 +RC-009 Warning 426 286 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 6 types of messages are suppressed +Maximum memory usage for this session: 2814.20 MB +CPU usage for this session: 214 seconds +Elapsed time for this session: 74 seconds +Diagnostics summary: 69 errors, 285 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! +There are max_transition and max_capacitance violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/max/caravan.tt-all_viol.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-min-f-sta.log b/scripts/logs/caravan/caravan-min-f-sta.log new file mode 100644 index 00000000..609ee7f0 --- /dev/null +++ b/scripts/logs/caravan/caravan-min-f-sta.log @@ -0,0 +1,16230 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 417, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.000179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.060159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.051175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.031716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.084222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.075121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.055444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.017249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.108668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.099050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.078264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.038411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.033804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.027071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.010279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.056008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.049277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.032268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.079028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.072095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.054573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.017229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002674, 0.002674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.016729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.030709' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.026041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.043980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.039199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.025349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004204, 0.004204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004819, 0.004819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003959, 0.003959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2329, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.008221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.070234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.057848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.036087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.092349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.078726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010881' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.110180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.094058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.065256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.018690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001982, 0.001982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.077089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.067611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.047525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.011456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.045306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.035857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.016945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.130554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.121601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.103817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.070070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.023751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.017202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.153989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.144824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.125453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.089353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.026225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.041196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.033581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.018835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.181381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.169739' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.147456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.107370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.038981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002597, 0.002597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002688, 0.002688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.012670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.133068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.127258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.113517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.025188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.161464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.154905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.140432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.110291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.053216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.001428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.056271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.050936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.016743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.207562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.199303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.181841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.147691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005015, 0.005015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005145, 0.005145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004804, 0.004804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.066813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.062224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.050822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.071985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.067860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.057269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.032486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.006998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.000613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.127068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.122922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.112650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.089018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.035146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.033068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.030449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.023218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.005834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.171264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.156357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.130338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.074048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.004775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.002379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.061838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.058234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.049598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.029280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.215883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.210229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.196479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.105356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008763, 0.008763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009153, 0.009153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008278, 0.008278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008065, 0.008065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009083, 0.009083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009310, 0.009310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007790, 0.007790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.024758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.015799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.010118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.001078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.071703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.062629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.043261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.005979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.074840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.065323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.044440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.004101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.043724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.036857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.019761' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.042052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.034998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.017118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5682, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.001083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.057654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.053352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.040762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.010420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.071610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.067175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.054114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.022216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005026, 0.005026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005285, 0.005285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.094734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.083315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.059461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.068640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.057421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.034730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.027523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.019651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.003854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.149713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.137944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.114920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.069484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.041401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.031856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.165251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.151075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.122877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.071556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.081036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.054582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.015509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.047733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.007958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.029299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.012453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.149015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.141338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.123471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.086220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.011809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.006777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.002254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.059329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.052440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.037798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.008036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.198443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.188295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.165747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.120820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.039068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004716, 0.004716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.002948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.123141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.118203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.105841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.075550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.005501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.107175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.102790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.061682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.040685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.037774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.008925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.176801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.172196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.159424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.129857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.066586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.009545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.068784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.064324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.053432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.225223' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.218624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.202098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.165544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008122, 0.008122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009588, 0.009588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008673, 0.008673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009839, 0.009839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009331, 0.009331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.047005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.038300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.019446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.032763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.023929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.004783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001768, 0.001768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.022488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.017866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.004916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8254, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.047861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.029577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.018204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8649, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001900, 0.001900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.013259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.003663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.119859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.105987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.076834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.017002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.088304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.074714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.045216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.028703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.022276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.007062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.149342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.140245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.118150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.070227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.014798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.008690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.124376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.115387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.093848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.045871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005025, 0.005025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001707, 0.001707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.028617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.024607' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.153924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.148756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.093102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.005807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.017146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.003078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.128077' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.113197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.075786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008964, 0.008964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008237, 0.008237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009733, 0.009733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008768, 0.008768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.039667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.030891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.012151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9984, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.008553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.100260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.090993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.070771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.031931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.007456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.000625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.064427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.057506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.040020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.003286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002616, 0.002616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.024072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.019733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.007065' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.005456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.001051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.081604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.077153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.064193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.032863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004630, 0.004630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005041, 0.005041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004209, 0.004209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.010447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.114821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.100707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.009837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.084040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.040676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.002835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.165573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.148787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.116116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.054284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.027854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.021492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.006163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.147995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.138868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.116799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.069294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.122347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.113714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.044856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.060071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.042924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.008685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.207900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.197224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.171735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.120099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.024586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005062, 0.005062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004764, 0.004764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.027633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.023573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.152282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.146363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.131368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.089964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.000596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.016395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.002180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.132467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.126904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.111714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.015640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.003653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.068252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.055606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.026659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.220523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.212972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.194033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.151462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.063293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008945, 0.008945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009726, 0.009726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008775, 0.008775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12304, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.001918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.055772' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.045654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.024155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.024777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.017376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.047330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.042620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.028901' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004079, 0.004079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004010, 0.004010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004751, 0.004751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.034610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.013137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.038250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.027252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.003864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.078832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.067073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.042922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.070394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.029416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.020605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.144388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.131216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.104006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.003396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.113376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.106000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.088659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.050393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.089035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.082355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.065089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.026911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.025067' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.019458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.153166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.144935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.125790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.085194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.012819' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.007388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.131023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.122999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.104654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.063928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.058472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.052114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.037931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.009508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.195499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.185909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.164775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.121522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.042776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004998, 0.004998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005095, 0.005095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004511, 0.004511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.000076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.113132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.108770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.062885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.090976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.079048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.048451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.016665' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.012835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.143359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.137797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.123156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.087517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.126381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.121106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.107579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.073854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.008498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.005707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.063940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.059732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.049128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.025268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.210292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.203994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.188039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.151636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.078475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008827, 0.008827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008038, 0.008038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008834, 0.008834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007933, 0.007933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009451, 0.009451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009271, 0.009271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007946, 0.007946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.013090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.001351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.050022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.038201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.029533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.016867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.069198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.068172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.018090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.008040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.010222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.000198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.014742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.010357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.056114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.051641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.038384' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.039566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.035017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.021593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005044, 0.005044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004568, 0.004568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005281, 0.005281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.051338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.043948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.009425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.105353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.089628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055243' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.000290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.076612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.042679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.089875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.082447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.057657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.001163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.081332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.072167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.048851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.034263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.026241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.005946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.149979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.137507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.107771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.042553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.025624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.017541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.139977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.128110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.099106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.036016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004525, 0.004525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.113509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.107107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.089295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.106596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.100778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.046696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.024552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.177614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.168770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.144965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.088621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.038773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.033366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.018699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.167633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.159543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.137821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008637, 0.008637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008016, 0.008016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008101, 0.008101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009237, 0.009237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001618, 0.001618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001907, 0.001907) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001800, 0.001800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.031983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.029568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.022852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.006889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.044742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.042274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.035488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.019412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.020756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.016119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.003066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005153, 0.005153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004750, 0.004750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005016, 0.005016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004589, 0.004589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.032669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.024443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.007720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.075971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.067071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.049054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.091979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.078748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.050292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.125625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.112247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.084250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.026956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002682, 0.002682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.078814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.059489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.031812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.098790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.077725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.047597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.013692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.123075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.114127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.044404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.028818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.022441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.149939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.140762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.118713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.070591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004798, 0.004798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004531, 0.004531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.072524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.068589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.058538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.035329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.102737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.098490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.087502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.062019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.003572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.015603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.001003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.130268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.124643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.109304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.070798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.027679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.023152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.152138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.146011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.129675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.089603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009290, 0.009290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009527, 0.009527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008147, 0.008147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009648, 0.009648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008733, 0.008733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008885, 0.008885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008181, 0.008181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.056068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.036574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.054771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.033386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.038792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.031577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.014050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.034544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.027167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.008778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.047359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.029174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.061091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.056128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.010355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004501, 0.004501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.081867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.069912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.047068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.064334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.052354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.027593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.051140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.040091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.017754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.029834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.022050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.006744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.154380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.142924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.120496' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.076918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.000668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.043144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.033765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.015534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.167869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.153776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.126528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.077792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.024359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.040209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.047023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.039784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.022814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.028103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.023026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.011340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.151283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.143744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.126339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.089757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.052779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.046186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.188546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.178867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.156675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.112716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.031283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004347, 0.004347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004569, 0.004569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004153, 0.004153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004643, 0.004643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.031270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.025718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.011452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.048850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.043619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.070120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.065534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.053680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.024972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.033215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.029672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.021590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.001454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.165662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.160702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.148471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.119687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.055375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.007346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.004563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.064201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.059987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.049548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.026797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.214893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.208469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.192879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.157788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.088521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008687, 0.008687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008137, 0.008137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008935, 0.008935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009307, 0.009307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.013219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26193, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26242, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.009632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.077780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.067941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.047225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.008434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.040176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.032890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.015321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002557, 0.002557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.075898' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.071265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.057957' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.026462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004980, 0.004980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005183, 0.005183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004909, 0.004909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.102306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.058140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.043088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.060095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.031950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.002645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.047050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.036366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.165803' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.149975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.118879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.061654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.033283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.075563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.065543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.041417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.077605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.046929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.006701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.001768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.055712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.048233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.031549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.183857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.172693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.148639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.098730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.004686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004759, 0.004759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.075292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.068452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.048591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.000377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.082653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.058771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.014738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.097728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.092125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.038209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.013627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.010180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.001523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.069791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.064663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.051755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.023006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.213425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.205997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.187285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.144323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.056441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008628, 0.008628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008196, 0.008196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008173, 0.008173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009282, 0.009282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008198, 0.008198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29065, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.068191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.058525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.037657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.034778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.024880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.043549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.036576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.019042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.027658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.020622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.003295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002705, 0.002705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.011675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.006995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004503, 0.004503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004116, 0.004116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004746, 0.004746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.097689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.082471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.080119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.064986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.031914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.063687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.019817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.043388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.030837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.004832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.167501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.149962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.111941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.039891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.024374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.012301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.131087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.114233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.077007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.006316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.071964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.061392' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.056809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.046337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.020165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.069215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.060373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.037365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.013346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.168970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.155518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.125266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.059405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.030438' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.021972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.002190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.147483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.135248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.105917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043134' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.059792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.052856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.033478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.056106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.049546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.030879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.078457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.072848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.057347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.017344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.046490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.025699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.178911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.170451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.093942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.034755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.021053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.171524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.163677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.142892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.094099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008524, 0.008524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008125, 0.008125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008811, 0.008811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009212, 0.009212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008001, 0.008001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.092748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.083278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.062736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.023786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002664, 0.002664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.036152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.028999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.011082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.058369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.053926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.040865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.009512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004577, 0.004577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004637, 0.004637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.045845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.032694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.000171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.048198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.033702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.057550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.043782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.014159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.064559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.051573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.024017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.030272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.009420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.158558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.143476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.113260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.056014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.044170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.032748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.004662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.028309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.016835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.052362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.042436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.017756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.046935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.024344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.008248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.002987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.048658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.031329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.182309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.170590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.145241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.093019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004278, 0.004278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004371, 0.004371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.039198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.031920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.030351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.023272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.004332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.058230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.051963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.035003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.075842' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.070246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.055124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.018055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012961' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.009616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.001204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.068076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.063045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.050447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.022680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.210037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.202694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.183801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.141488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.059327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008141, 0.008141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008115, 0.008115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007911, 0.007911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008058, 0.008058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009308, 0.009308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008206, 0.008206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001501, 0.001501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001786, 0.001786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002552, 0.002552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001524, 0.001524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.060343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.028899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002070, 0.002070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001878, 0.001878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001719, 0.001719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.028276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.024774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.013631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001908, 0.001908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007232, 0.007232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006473, 0.006473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.060446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.050161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.029068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007963, 0.007963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007000, 0.007000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003724, 0.003724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47007, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'Y', The 'values' attribute has a '-0.014200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003243, 0.003243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002902, 0.002902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.017540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.014291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.001615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.100294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.095804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.078287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.019714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040148, 0.040148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035026, 0.035026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.005464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.063639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.047316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.004249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005467, 0.005467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.019938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.012188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.095654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.084678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.053071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010835, 0.010835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009535, 0.009535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.023409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.018585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.001722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.104471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.097920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.074596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.006116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021483, 0.021483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018825, 0.018825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009724, 0.009724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008233, 0.008233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003746, 0.003746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003487, 0.003487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001793, 0.001793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001736, 0.001736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003710, 0.003710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003447, 0.003447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001802, 0.001802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003718, 0.003718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003498, 0.003498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003690, 0.003690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003645, 0.003645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003687, 0.003687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001955, 0.001955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003633, 0.003633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003621, 0.003621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003603, 0.003603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003618, 0.003618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003574, 0.003574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003536, 0.003536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003450, 0.003450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003467, 0.003467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003470, 0.003470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001950, 0.001950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000805, 0.000805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000772, 0.000772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005212, 0.005212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002630, 0.002630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002681, 0.002681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.030247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.020055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001927, 0.001927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001898, 0.001898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001992, 0.001992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.023131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.012968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001895, 0.001895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001901, 0.001901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001912, 0.001912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001946, 0.001946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003350, 0.003350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003752, 0.003752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.022940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.011934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.009403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.062139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.057051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007338, 0.007338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006161, 0.006161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004725, 0.004725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011398, 0.011398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001932, 0.001932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001971, 0.001971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002743, 0.002743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.059408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.013799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.053618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.052727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002807, 0.002807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.038831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.026329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.155586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.136664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.099620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.031040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004556, 0.004556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004043, 0.004043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.002816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.050289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.040473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.018674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.175237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.160735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.128893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.065801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008695, 0.008695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007159, 0.007159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.059344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.052060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.033831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.193557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.183144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.156598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017534, 0.017534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015756, 0.015756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011060, 0.011060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009017, 0.009017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.004582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.001242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.052535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.038028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.002884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.194906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.187566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.166728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.113691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.032352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002692, 0.002692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.023268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.149716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.130909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.092670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.020486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69023, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.005952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.051302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.007677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.218503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.193623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.060041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003728, 0.003728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003798, 0.003798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.003095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.048448' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.037388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.012625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.167609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.151090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.115623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.045270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.067755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.055854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.030681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.246956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.226581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.183693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.104109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008910, 0.008910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008027, 0.008027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006103, 0.006103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006296, 0.006296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.006153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.001130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.057062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.030539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.188716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.177760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.150319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.089530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.007756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.003338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.075317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.067951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.009199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.266928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.254185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.221826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.153225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.029191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017371, 0.017371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015709, 0.015709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009663, 0.009663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.002833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.049373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.034657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.190043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.182410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.160866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.106078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.003274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.000399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.072856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.068129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.021129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.270202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.261584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.238780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.181893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.065360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007168, 0.007168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006808, 0.006808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006284, 0.006284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006249, 0.006249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004760, 0.004760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008112, 0.008112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007598, 0.007598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007141, 0.007141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006947, 0.006947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005307, 0.005307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008102, 0.008102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007591, 0.007591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007158, 0.007158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006961, 0.006961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005313, 0.005313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005059, 0.005059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004974, 0.004974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006964, 0.006964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006532, 0.006532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006608, 0.006608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006301, 0.006301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005028, 0.005028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008857, 0.008857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004990, 0.004990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003086, 0.003086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003334, 0.003334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75173, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.003311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.014357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.009231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.020986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.016003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.001689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009704, 0.009704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007942, 0.007942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.032848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.019359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.121410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.102895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.059552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.018230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.016087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.008623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.074726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.071824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.060944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.028707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.215486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.210871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.195913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.149886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027383, 0.027383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024925, 0.024925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.015725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.013947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.007430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.071919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.069389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.060052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.030868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.211755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.208350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.195163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.153750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.045148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.035280, 0.035280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.032042, 0.032042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.012480' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.056918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.023161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.167861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.154279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.120196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.016414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.066831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.060334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.042226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.192041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.182929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.158011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.095790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009449, 0.009449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008624, 0.008624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.018897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.015471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.005186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.073436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.068341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.053245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.014473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.208032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.201152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.180189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.124538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013951, 0.013951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012703, 0.012703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.018615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.015910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.006945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.073332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.069147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.056076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.020129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.208631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.203039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.184291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.133028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.011042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018565, 0.018565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016914, 0.016914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.058807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.048644' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.027445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007047, 0.007047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002093, 0.002093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002025, 0.002025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003727, 0.003727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77201, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'Y', The 'values' attribute has a '-0.014424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002838, 0.002838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.014566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.011327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.092319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.088059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.071163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.013609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040461, 0.040461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035826, 0.035826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.004097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.061305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.045478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.002599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005474, 0.005474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.018621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.011125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.093617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.083242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.051415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010854, 0.010854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009578, 0.009578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.021526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.016604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.100951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.094309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.070823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.001994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021525, 0.021525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019035, 0.019035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.022272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.016311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.006667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.010108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001716, 0.001716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.005306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.003811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.065621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.056176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.035643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.224695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.221356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.210486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.179333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.103097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009284, 0.009284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008373, 0.008373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034864, 0.034864) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029979, 0.029979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.013664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.011412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.004809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.074110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.070734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.060853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.035396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.229916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.224896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.209924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.171318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.084426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004874, 0.004874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004433, 0.004433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015665, 0.015665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009217, 0.009217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008337, 0.008337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006178, 0.006178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006325, 0.006325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006346, 0.006346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006196, 0.006196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006180, 0.006180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002966, 0.002966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002792, 0.002792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002855, 0.002855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003043, 0.003043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003436, 0.003436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003193, 0.003193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003284, 0.003284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002910, 0.002910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004003, 0.004003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003892, 0.003892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003686, 0.003686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003440, 0.003440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003453, 0.003453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005400, 0.005400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004931, 0.004931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005055, 0.005055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005437, 0.005437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007529, 0.007529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.019696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.007738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.112246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.096573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.022056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.009790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.119834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.104176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.068853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.075238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062214' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.032361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004870, 0.004870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.012564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.005515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.115413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.105491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.081993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.030131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.020859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.013787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.133131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.123397' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.100385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.048305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.002342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.112017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.103923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.084463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006747, 0.006747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006143, 0.006143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.027702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.023054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.010608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.144964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.138439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.078835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.018655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.013742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.001281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.127917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.103906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.059809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.004190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.000458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.114129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.108734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.094241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.058001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007594, 0.007594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007797, 0.007797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011603, 0.011603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010679, 0.010679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002880, 0.002880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001766, 0.001766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006063, 0.006063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005827, 0.005827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006077, 0.006077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005829, 0.005829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003399, 0.003399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.029969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.015276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.125102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.103862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.059040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.022592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.008283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.114686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.095395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.049751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.040201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.030369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.004829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.148075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.096732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.015924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.032696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.022825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.139321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.125289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.089221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.009358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004320, 0.004320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004223, 0.004223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.002109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.046885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.040054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.020631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.127105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.057110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.043540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.037111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.018949' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.128085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.061545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008817, 0.008817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008301, 0.008301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008405, 0.008405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.041902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.037390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.022378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.158795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.152604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.132475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.070056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.044088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.040081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.027184' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.169646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.164156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.145878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.092088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017411, 0.017411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016506, 0.016506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016332, 0.016332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.025307' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.011286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.125932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.106393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.061152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.032151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.022059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.141063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.126628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.011530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.043353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.037104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.018523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.166429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.157447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.130762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.064278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009324, 0.009324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.007084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.092521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.073569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028697' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.006776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.102958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.083271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.038143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.088525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.070584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.014195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.003603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.103541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.051111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.005321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.093656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.079017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.041133' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.008021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.088106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.055291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004342, 0.004342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004738, 0.004738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.091876' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.054147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.014491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.116619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.107733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.017996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.131633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.123021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.098825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.037336' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008820, 0.008820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008507, 0.008507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008336, 0.008336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.010989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.111718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.091801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.007552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.107294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.089051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001413, 0.001413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.006748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.099257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.084834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.048060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.012469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.003902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.120213' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.107216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.074649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.000443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004218, 0.004218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.010388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.004187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.111146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.101879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.074432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.002226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.014126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.008620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.120622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.112936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.089352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.026621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008766, 0.008766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008171, 0.008171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009363, 0.009363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008396, 0.008396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.000602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.084654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.064832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.019408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.094010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.073867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.027194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.098676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.079169' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.034910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.081712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.063677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.023432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.083068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.069514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.030436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.061657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.022314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.094174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.080522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.088831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004348, 0.004348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004287, 0.004287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.075382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.039552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.079838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.070239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.043479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.091311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.000565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.107454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.078321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.020381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008547, 0.008547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008338, 0.008338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008646, 0.008646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008265, 0.008265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008207, 0.008207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009224, 0.009224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.074556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.027704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.080322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.035513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.076913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.036410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.073088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.058429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.019237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.094601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.045626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.092218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.048042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004343, 0.004343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004257, 0.004257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.072614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.044701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.100527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.091837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.066946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.105465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.098165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.076048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.017678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009005, 0.009005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008303, 0.008303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009205, 0.009205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008289, 0.008289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.101155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.081394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.036760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.097544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.077922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.037723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.092495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.079116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.087317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.074152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.100500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.091950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.066826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.000576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.106215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.098380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.076168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.016903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008941, 0.008941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009220, 0.009220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008296, 0.008296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.011599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.120723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.078105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.002202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.045540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.033840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.010031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.161458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.144440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.109528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.043615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.035386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.029412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.015338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.153565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.145163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.124857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.078449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.010893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.063712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.055587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.000126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.200280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.188417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.161158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.105275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.003506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004622, 0.004622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004193, 0.004193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.041461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.037618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.027161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.168809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.163316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.148894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.110551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.025556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.014143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.010698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.071595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.066290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.052721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.021162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.219147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.211295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.190686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.143451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009190, 0.009190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008322, 0.008322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009347, 0.009347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008093, 0.008093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.039768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.037625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.008905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.167076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.156245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.125695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.050162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.013745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.011511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.004828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.073963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.070572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.060554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.034628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.229135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.223999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.209420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.082380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017944, 0.017944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016156, 0.016156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018153, 0.018153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015700, 0.015700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.002674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.099290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.088629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.022669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.024504' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.016456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.143260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.131303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.107153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.059136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.039701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.030075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.010533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.163305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.148549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.119006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.011352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.126464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.119185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.104886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.069196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.028907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.024234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.012242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.150718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.142926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.124801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.086197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.010829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.001602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.049489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.042587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.027464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.178916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.168601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.145778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.099867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.024559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.013463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.145667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.141230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.131389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.103222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.039347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.038922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.035482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.026596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.175117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.170035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.157502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.125412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.058054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.006854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.003912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.065161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.061114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.049469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.023890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.221006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.214205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.197174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.158191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.079598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009694, 0.009694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008410, 0.008410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009037, 0.009037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007758, 0.007758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.014127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.006654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.123023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.112604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.091328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.048868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.028000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.019968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.003613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.151497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.139586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.116048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.068500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.016050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.000105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.126699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.119613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.103302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.069309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.028652' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.023488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.149986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.142200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.124045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.084754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004180, 0.004180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004188, 0.004188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.025052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.022031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.014225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.147816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.143465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.132190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.106391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.045000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.039135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.035753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.027149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.006550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.178335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.173292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.159895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.129322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.064188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009223, 0.009223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007817, 0.007817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.091615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.063339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.012737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.119562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.100440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.062238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.020140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.156960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.144742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.123554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.011091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.040469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.031766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.014596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.170889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.157516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.085832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.008401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.006774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.002513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.112870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.106936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.093620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.065321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.011521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.007244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.126897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.120262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.105770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.076044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.016799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.025478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.020826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.010643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.152073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.145031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.096893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.000780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.052105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.046360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.195581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.186705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.167558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.061234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004141, 0.004141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004667, 0.004667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004697, 0.004697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003922, 0.003922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.011682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.002260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.126591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.122880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.113179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.090404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.039119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.018603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.015775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.146624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.142469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.108120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.054102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.033818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.030755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.023281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.005891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.174919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.170322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.158825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.075985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.003776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.001166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.060142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.056433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.047499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.215121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.209450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.195530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.164052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.101680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009140, 0.009140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008185, 0.008185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007985, 0.007985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009052, 0.009052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007667, 0.007667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009348, 0.009348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007769, 0.007769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.000357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.112994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.103893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.051512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.013615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.006992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.133647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.123861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.105143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.068254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.002047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.026694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.019597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.157734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.146794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.125231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.016510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.005379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.001145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.110103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.104224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.091010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.062952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.011033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.122732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.117485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.102934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.073309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.024376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.019486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.008960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.149099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.141956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.125958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.092943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.030412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004666, 0.004666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.010818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.002485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.125620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.121776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.112129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.090710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.038852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.018126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.015186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.145261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.141165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.130908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.107483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.052858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.033380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.029688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.021915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.005129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.172919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.168324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.157464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.129923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.071762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009092, 0.009092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009047, 0.009047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007698, 0.007698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.000892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.098362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.089309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.070835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.035156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.013983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.007058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.130886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.120796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.101706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.063310' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001425, 0.001425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.007941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.003808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.114216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.108396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.095429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.068308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.014342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.012674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.008270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.127329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.120965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.107132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.078744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.021595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004152, 0.004152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001494, 0.001494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001392, 0.001392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.011654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.010202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.003112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.126292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.122500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.112999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.092159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.040771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.018231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.016485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.009285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.144833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.140763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.130580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.107419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.053205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009132, 0.009132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008194, 0.008194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009157, 0.009157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007974, 0.007974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104074, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.008805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.013750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.002705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104172, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.005189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104221, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.004588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005084, 0.005084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004239, 0.004239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004956, 0.004956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004252, 0.004252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.043182' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.029566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.109816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.093241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.058988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.004991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.113536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.097502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.062945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.002357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.101022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.083890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.047507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.001278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.086500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.069993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.034947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.055675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.046777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.024931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.110849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.100075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073806' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.016722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.000291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.098381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.087398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.062226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.005743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.083491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.071092' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.041828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.002396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.044138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004021, 0.004021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004225, 0.004225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004408, 0.004408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004262, 0.004262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.060938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.055723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.041219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.115939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.109518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.091168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.046088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.000145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.111177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.104244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.039551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.077738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.056747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.079342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.071004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.051566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008221, 0.008221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009061, 0.009061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007794, 0.007794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008959, 0.008959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008674, 0.008674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008160, 0.008160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008294, 0.008294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.033325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.000121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.032681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.018468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.008664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.005200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.000116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.014801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.009923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005119, 0.005119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004800, 0.004800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004165, 0.004165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005274, 0.005274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004806, 0.004806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004717, 0.004717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004318, 0.004318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.055245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.042170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.012804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.118686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.102561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.068611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.013159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.002295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.121075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.105435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.071785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.005172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.019317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.008530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.126636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.111381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.077657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.011231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.085513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.076656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.054658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.004769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.015422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.007721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.134402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.122198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.094841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.036177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.109120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.069645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.009587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.022209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.120054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.107537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.017379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004704, 0.004704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004513, 0.004513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.107491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.102145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.087330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.049231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.024666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.020052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.007372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.163238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.156386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.136398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.031048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.025943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.012475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.151762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.144586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.124537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.078691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.039329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.033916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.019646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.162423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.154135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.133614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.084570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009531, 0.009531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007877, 0.007877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009557, 0.009557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008788, 0.008788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008211, 0.008211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110491, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.002865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.057818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.047449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.025239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.063254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.053485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.033260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.024086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.030303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.022864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.004758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.028813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.014784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.037896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.019612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005092, 0.005092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004763, 0.004763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.024571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.004976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.087676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.063172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.016963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.006247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.081295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.058788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.018330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.085090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.070424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.037953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.030820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.148583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.129756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.091305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.018921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.041137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.002963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.153207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.097796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002629, 0.002629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002019, 0.002019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.121643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.111944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.088731' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.036795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.046406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.037442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.017962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.181832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.169079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.139272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.078443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.051471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.043080' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.023887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.170350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.158570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.130649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.074724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004721, 0.004721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004128, 0.004128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.012568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.133889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.128089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.112311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.072811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.049926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.044570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.030779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.194831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.187348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.165991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.116835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.005117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.052849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.047434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.033473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.000858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.177478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.169866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.149281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.103471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.004632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009625, 0.009625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008681, 0.008681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009070, 0.009070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007875, 0.007875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009155, 0.009155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008477, 0.008477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.048003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.037714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.015604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001471, 0.001471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.016982' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.009216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.014176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.009002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004727, 0.004727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.086759' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.072037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.039749' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.030007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.017271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.151166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.131717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.093816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001872, 0.001872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.001181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.103716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.093983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.070490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.017568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.038390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.029516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.009536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.167790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.154206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.124046' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.062055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004724, 0.004724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.008045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.003978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.120332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.114011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.097090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.054699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.044510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.038630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.023608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.182304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.173827' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.151490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.099288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009322, 0.009322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007902, 0.007902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115331, Cell 'sky130_fd_sc_hd__o221a_1', pin 'X', The 'values' attribute has a '-0.003101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002569, 0.002569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005180, 0.005180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004631, 0.004631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005001, 0.005001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.049453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.035916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.007108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.110716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.094648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.061368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.059557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.044676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.012217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.010996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.125541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.107291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.072487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.003016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.077290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.060283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.026925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.090025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.058869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.010004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.005906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.142435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.131264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.104664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.047242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.101916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.092158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.068192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.147149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.134029' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.103825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.039937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.119221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.106923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.079524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.021861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005008, 0.005008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004551, 0.004551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005160, 0.005160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004197, 0.004197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.093419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.087903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.072843' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.034572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.012390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.007686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.147649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.139608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.074786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.085442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.023497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.021508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.015959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.151217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.142906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.020586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.014950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.113196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.040561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009575, 0.009575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007880, 0.007880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009339, 0.009339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007694, 0.007694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008376, 0.008376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.049195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.038194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.015219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.028462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.018991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.083269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.073197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.052211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.012753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.018304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.010386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.050267' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.042546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.023695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.018695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.004422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.011940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.035632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.030821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004672, 0.004672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005144, 0.005144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.083011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.069817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.040848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.024416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.145453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.094729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.029617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.006088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.102648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.058404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.046556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.034944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.176144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.159390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.124232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.057959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.095789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.087049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.065587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.015997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.030419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.022750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.004963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.156627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.145768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.119293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.061629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.012383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.005943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.116941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.107472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.085605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.034529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.003604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.054327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.046259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.028085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.189017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.177305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.149849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.091730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.003929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.122695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.117481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.102918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.067059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.033047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.021090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.176593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.169798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.151717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.107319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.013503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.022125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.018039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.140816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.135089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.119308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.079006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.048925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.043311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.028733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.186750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.178394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.156807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.106462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.001191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009583, 0.009583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009080, 0.009080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007912, 0.007912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009399, 0.009399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008853, 0.008853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002071, 0.002071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.010343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.007988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.001732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.034416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.032115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.030614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005118, 0.005118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004685, 0.004685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004087, 0.004087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.024377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.015862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.048535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.040174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.023875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.075939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.043582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.032940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.019773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.156812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.137524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.098578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.026295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.039534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.025884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.079042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.073129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.059992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.033691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.012052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.005442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.123302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.113491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.090247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.038320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.036592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.017142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.181927' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.169093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.139284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.078624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005177, 0.005177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005056, 0.005056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.048301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.044405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.011062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.038040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.024403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.003346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.119624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.113143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.096366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.053813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.042394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.036472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.021369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.178737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.170167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.094837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009242, 0.009242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008367, 0.008367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009221, 0.009221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009111, 0.009111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008236, 0.008236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007888, 0.007888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124628, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.005935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.035331' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.024574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.001320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.032101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.021874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.000337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.013642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.003410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125307, Cell 'sky130_fd_sc_hd__o311a_2', pin 'X', The 'values' attribute has a '-0.001587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126540, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.011969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.055002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.036586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.001905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.049738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.032031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.038178' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.020877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001860, 0.001860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.045938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.033521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.011350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.074161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.048523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.130805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.115980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.031159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.015846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.123301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.109235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.080760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.027190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.014642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.111151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.096943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.069747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.016205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002632, 0.002632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.044086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.036688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.019345' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.077825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.058861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.009173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.002621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.138999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.129049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.107135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.061157' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.012149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.127399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.118177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.097162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.052543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.005198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.093320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.083270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.059776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.011985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004233, 0.004233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004791, 0.004791) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004037, 0.004037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004792, 0.004792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.074095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.069639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.057988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.029337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.104232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.099255' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.085725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.052770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.009828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.005428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.142062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.135232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.078366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.019757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.015039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.002891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.131432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.124934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.021655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.016725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.004335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.125150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.100700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.059096' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009096, 0.009096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009055, 0.009055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007831, 0.007831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009281, 0.009281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007843, 0.007843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.011464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.002045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.030888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.021646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.000874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.059395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.049164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.027318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.053313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.043576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129421, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.001005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.024517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.017170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.020402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.013246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.025326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.020428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.006366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.033588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.028939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.015515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004592, 0.004592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003908, 0.003908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004933, 0.004933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.067458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.055893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.032324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.112294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.099427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.072604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.021125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.026131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.015649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.157378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.141302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.109465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.051436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.036936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.027410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.008507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.146359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.133185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.106272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.056326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002668, 0.002668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002680, 0.002680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.080716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.072987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.055671' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.017464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.008751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.129717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.121478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.102796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.063027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.034159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.027053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.011211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.174256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.162999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.139273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.090209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.001658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.048136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.041508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.026952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.167509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.158074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.136755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.095556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.019187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003939, 0.003939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.096894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.092160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.079718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.048777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.131908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.126355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.078136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.004507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041431' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.036674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.024841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.189616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.182326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.164311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.123455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.050103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.045399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.033914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.172340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.165723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.149198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.035059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009089, 0.009089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008149, 0.008149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009029, 0.009029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009444, 0.009444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007941, 0.007941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008439, 0.008439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.011617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.043745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.012455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.085659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.075805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.015505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132500, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.003146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.028139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.020577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.001633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132598, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.006231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.059688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.052400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.006335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003944, 0.003944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.077241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.066354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.042909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.105440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.080347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.032615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.028053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.018745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.161453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.147493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.064027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.010517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.002377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.111051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.097691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.073369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.025833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.000793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.050099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.040311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.021079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.183343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.169119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.140486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.088210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.068457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.061436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.044700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.111836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.103540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.085162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.043894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.018735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003711' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.158841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.148855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.125756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.077532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.020097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007873' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.143692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.135793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.118570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.079469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.001168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.047043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.039743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.023585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.175722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.165474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.141246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.093084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004277, 0.004277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.080695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.076298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.064372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.035409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.114585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.109425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.095976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.062765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.026556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.022188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.011051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.165003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.158136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.141486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.101107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.020947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.007789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.140789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.135499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.121173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.088477' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.014481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.043630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.038541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.025864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.175109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.167474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.149289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.106018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009048, 0.009048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007677, 0.007677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007808, 0.007808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008953, 0.008953) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008142, 0.008142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008981, 0.008981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007980, 0.007980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135650, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.028064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.018339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.055916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.045511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.023303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.065704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.056458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.037084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136329, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.004114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.029935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.002581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.046164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.038700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.020520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.020034' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.000730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.033040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.028464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004694, 0.004694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004783, 0.004783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003854, 0.003854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003831, 0.003831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004398, 0.004398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.057201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.045801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.024582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.095012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.083164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.061390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.020283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.003388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.087576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.043710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.031032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.021097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.001412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.170409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.154915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.073338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.000947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.042036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.032831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.015333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.151645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.138103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.070158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.056592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.033425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.072802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.066627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.014610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.111689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.103455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.085048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.047698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.028257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.021493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.006756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.163152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.152147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.129094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.084667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.005216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.031324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.017656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.137195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.127475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.108162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.070851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.002219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004566, 0.004566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003954, 0.003954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.071359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.066563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.055176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.029900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.080966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.076219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.064414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.036205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.110320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.105470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.092073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.060240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.027944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.012427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.168755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.162315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.144684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.106895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.032013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.039531' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.034988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.024210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.000747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.145288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.139661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.124264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.091449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008214, 0.008214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007717, 0.007717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007684, 0.007684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009215, 0.009215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008626, 0.008626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.022232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.011227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.030026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.018610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.010109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.016235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.006666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.022274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001724, 0.001724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.013172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.003425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.015174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.005020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001571, 0.001571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001794, 0.001794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.011114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.001328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143069, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.000221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.004186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.003926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001902, 0.001902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001519, 0.001519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001308, 0.001308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.003401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002001, 0.002001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.033155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.030350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.020884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007311, 0.007311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006538, 0.006538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.030426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.027683' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.018436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007331, 0.007331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006545, 0.006545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003709, 0.003709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003502, 0.003502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003259, 0.003259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003052, 0.003052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003224, 0.003224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003019, 0.003019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003704, 0.003704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003478, 0.003478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001813, 0.001813) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003245, 0.003245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003025, 0.003025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003653, 0.003653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003598, 0.003598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003652, 0.003652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004061, 0.004061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003636, 0.003636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002615, 0.002615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001933, 0.001933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003472, 0.003472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002017, 0.002017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003523, 0.003523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003427, 0.003427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001943, 0.001943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003460, 0.003460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002031, 0.002031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003518, 0.003518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003459, 0.003459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003456, 0.003456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001960, 0.001960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003679, 0.003679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001961, 0.001961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001978, 0.001978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001962, 0.001962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003971, 0.003971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003706, 0.003706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003947, 0.003947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003950, 0.003950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003540, 0.003540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001806, 0.001806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004591, 0.004591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001987, 0.001987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.103270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.088272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.056499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.041515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.033224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.016547' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.023001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.010660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.139424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.120342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.081640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.021942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.005420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.106016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.096940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.075198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.014314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.023398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.005122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.156295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.144299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.116019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.058627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.036947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.020515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008395, 0.008395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.001009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.110769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.104841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.088797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.048913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.036773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.033282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.024266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.003651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.031804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.026413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.012882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.165249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.157132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.136940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.087614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.032316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.028948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.020229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.000347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017314, 0.017314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015971, 0.015971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016149, 0.016149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015465, 0.015465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005143, 0.005143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003666, 0.003666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002611, 0.002611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005138, 0.005138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003668, 0.003668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003402, 0.003402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005456, 0.005456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005132, 0.005132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003671, 0.003671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003390, 0.003390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.052090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.043238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.024592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.002129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.107103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.093849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.006055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.053968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.044755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.025684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.008583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.112330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.099275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.071202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.012304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.052166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.045548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.030462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.091398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.082090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.059760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.009306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.076456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.069478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.053217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.018120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.003958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.102882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.093753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.070562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.020485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009349, 0.009349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008562, 0.008562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.052956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.048624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.037352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.009325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.099265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.093552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.077840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.038041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.070606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.066117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.054295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.025804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.001777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.104423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.098248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.039434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018101, 0.018101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016568, 0.016568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015573, 0.015573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014215, 0.014215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003669, 0.003669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003415, 0.003415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002608, 0.002608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005441, 0.005441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002592, 0.002592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003650, 0.003650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003375, 0.003375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.064973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.062220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.050512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.011535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.488398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.485585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.472778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.422846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.282066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009671, 0.009671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.068467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.066196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.056359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.022047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.501878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.499507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.489590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.447928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.321248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014391, 0.014391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.013047, 0.013047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.068318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.064407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.048391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.000123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.485219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.481018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.463197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.397992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.223623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007376, 0.007376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006709, 0.006709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006324, 0.006324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006345, 0.006345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006195, 0.006195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006179, 0.006179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ff_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' ... +Warning: Line 35, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 35, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.051800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.071230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.004830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.130770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.059770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.050070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.039270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.013670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.192700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.117700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.107400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.095900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.053100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.035300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.015000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.123770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.048970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.038770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.000670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.188900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.109900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.087100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.073700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.058800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.002900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1433, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.011900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.006710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.126530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.175670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.225600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.083900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.222830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.033530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.005230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.297270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.060270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.025370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.372700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.151800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.118600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.081100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.038900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.016680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.061900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.202130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.030930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.003830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.278970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.028570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.357300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.087900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2716, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.024600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2782, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.044870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.093200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.012400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.092230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.009830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.163470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.086070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.075470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.050370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.035470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.018870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.237500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.144600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.132000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.118100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.102400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.085000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.134370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.054270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.031070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.002070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.206600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.122100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.110500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.067100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.049100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.029000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.006200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3945, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.033170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.104730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.151770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.127370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.177700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.020800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.109230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.158270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.032130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.004430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.284170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.027870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.361000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.155700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.123300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.044900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.171530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.244470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.068970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.007570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.319300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.130600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.099900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.024700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.046770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.102900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.010400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.145330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.073030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.063030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.039330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.025330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.009530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.235870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.159070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.148470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.123170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.108370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.072970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.026670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.329800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.249100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.237800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.225300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.211200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.195600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.178200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.158600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.111100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.045900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.003200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.036370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.011500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.108830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.033030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.010330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.195970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.115470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.104070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.091370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.077170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.061170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.043170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.286700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.202100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.190200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.161900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.145200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.126400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.105300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5409, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5606, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5780, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.030200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.022700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.015300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.001400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5989, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6186, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6383, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6392, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6412, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6420, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6875, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.012800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.080930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.118470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.064500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.222180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.395770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.141140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.106200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.067600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.917230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.546430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.496130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.440630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.379430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.311930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.237930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.066930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.200170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.777870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.720670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.657770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.588370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.512070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.428270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.336270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.235370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.124770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.003570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.488500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.018300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.954900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.885000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.808100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.723500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.630700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.529000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.417600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.295600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.162000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.015700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7276, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.010900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.076730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.112970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.005170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.243200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.059000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.037000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.029620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.115600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.310050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.106840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.047650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.231260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.192810' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.103180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.126560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.767930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.719030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.664730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.604730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.538430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.465430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.385130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.296530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.199330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.092430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.452470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.047370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.992270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.931170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.863570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.788970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.706870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.616570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.407970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.287970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.156570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.782500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.334700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.274000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.206500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.132100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.049900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.959500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.860100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.750700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.630600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.498700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.354300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.195900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.022200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7756, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.005660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.003400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.089230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.068830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.065030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.060630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.055430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.049430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.034330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.025130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.123070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.101270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.097270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.080370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.064170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.054170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.157400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.138100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.130200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.125100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.119300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.112400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.084400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.058100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.023600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7930, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.008030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.020570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.033400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8104, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.040530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.084200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.011800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8278, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.081130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.050130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.044530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.038130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.111770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.072770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.057870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.048670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.025770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.011770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.142700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.108100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.094500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.086000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.064900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.051900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8452, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.080330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.064330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.191170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.174170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.133870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.109670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.081270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.047470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.007070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.363900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.307300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.289200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.269100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.246900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.222000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.193200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.159200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.118800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.070100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.011400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.326470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.190670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.173170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.106770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.043570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.364000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.306800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.288400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.267800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.114300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.045930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.025630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.205070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.172270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.349000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.304100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.285900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.265700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.156300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.116300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.068100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.062530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.204570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.188770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.129570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.001770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.348800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.303400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.284900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.264200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.241200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.111500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.238930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.160830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.150130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.138230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.124930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.110330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.054930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.358470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.274870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.263270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.250470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.236270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.203270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.183870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.162070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.137370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.481000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.392600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.380400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.366800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.296200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.273500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.248000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.184300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.143400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.034400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.113170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.052070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.031570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.202300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.141100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.120600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.076500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.056600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.099970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.107270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.004270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.315500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.050000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.017800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.064470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.153500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.134200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.112700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.032900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.081670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.066470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.049570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.181000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.163400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.070900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.008900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.169000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.150100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.129000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.027800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.051530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.087970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.126000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.030700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.031710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.073500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.264270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.014170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.331700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.128600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.098200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.063700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.072830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.162100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.003900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.016630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.220330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.058930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.032230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.001630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.303270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.129370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.100570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.029670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.387500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.202700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.172100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.136900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.050700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.005770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.071450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.141920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.347830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.147530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.082230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.043030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.457470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.239570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.206370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.168570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.125970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.568100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.334800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.299300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.258800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.161500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.037400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.038930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.097870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.021470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.010770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.159600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.067700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.055100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.041000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.025200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.010020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.050400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.175130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.243570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.048570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.313400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.104800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.034100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18569, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.075570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.028800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.014600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.007930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.121500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.026700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.043300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.038030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.095470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.072400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.061100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.048500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.034500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.010150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.052900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.185030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.014230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.072370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.042470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.008370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.331900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.002700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.121230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.188370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.050900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.016500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.036500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.163930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.235070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.004670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.308200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.126600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.096400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.061500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.021900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.093530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.138570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.184800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.158730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.219270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.280800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.054300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.048000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.260930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.175530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.164330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.151830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.138130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.122830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.105630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.086130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.036330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.004030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.378470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.298070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.287070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.274970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.261570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.246770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.230470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.212270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.191970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.168670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.141470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.109170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.498600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.413700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.359200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.341900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.322700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.301500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.277600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.249900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.217500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.178700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.131800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.074800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.005400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.011850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.095550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.027300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.007700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.285330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.275330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.264230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.251830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.238130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.222730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.205330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.162030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.134430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.101330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.501570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.424970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.414270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.339870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.319270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.295370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.267470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.234370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.194470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.146270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.087670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.016870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.649100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.568500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.557200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.544700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.530700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.515200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.497900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.478700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.457200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.432800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.404500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.371100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.331300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.283200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.224900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.154100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.068300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.286730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.275230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.248630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.233330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.216330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.176730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.153030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.125330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.092830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.053830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.434570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.422070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.408370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.393270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.337970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.315570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.290670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.229370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.143370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.086470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.682100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.572900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.558200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.541900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.504300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.482700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.458900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.432600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.403300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.369600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.330200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.283400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.226900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.158100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.074600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.014420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.040000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.030400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.019700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.418630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.340030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.317430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.304330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.273730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.255830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.235530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.212030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.184630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.152030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.502070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.490470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.477670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.463570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.447970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.430670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.411570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.390170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.366070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.338070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.305170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.266070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.218770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.161370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.757300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.668100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.655800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.642200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.627100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.610500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.592100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.571900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.549400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.495700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.462400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.423100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.318900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.249700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.064000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.033710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.139290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.077500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.059500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.022800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.466430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.391930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.381630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.343130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.327330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.309530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.238230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.205430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.118030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.060130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.645570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.566170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.555170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.542870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.529170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.514070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.497170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.478370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.457270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.433170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.405170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.372170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.332770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.285170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.227470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.157470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.072670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.828300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.744800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.733100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.720100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.705600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.689600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.671800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.652000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.630000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.605100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.576500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.543100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.503600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.456200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.398800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.244500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.142500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.018800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22509, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.009950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.053600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.188430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.262270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.086970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.337800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.150300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.119900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.085200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23233, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23241, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.030130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.064970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.101200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.031330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.089170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.005670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.149900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.073000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.061800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.049000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.017100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23726, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23734, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007892, 0.007892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24109, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008184, 0.008184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008070, 0.008070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24712, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002714, 0.002714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004972, 0.004972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25592, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002722, 0.002722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004363, 0.004363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26032, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002777, 0.002777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26862, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005672, 0.005672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002717, 0.002717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27214, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002666, 0.002666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002727, 0.002727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002746, 0.002746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008522, 0.008522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28796, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006088, 0.006088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005895, 0.005895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29711, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002655, 0.002655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005851, 0.005851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005574, 0.005574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002651, 0.002651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004850, 0.004850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004847, 0.004847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004755, 0.004755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31095, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002802, 0.002802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31847, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004952, 0.004952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002663, 0.002663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005383, 0.005383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33220, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005797, 0.005797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33865, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.074075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34023, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.046548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002883, 0.002883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002912, 0.002912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002781, 0.002781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005415, 0.005415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004293, 0.004293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002997, 0.002997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002733, 0.002733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011964, 0.011964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011099, 0.011099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011988, 0.011988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011123, 0.011123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008267, 0.008267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008053, 0.008053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002697, 0.002697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002837, 0.002837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002711, 0.002711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005638, 0.005638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6651, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33564, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002889, 0.002889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003095, 0.003095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.074302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.065348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.040406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.124391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.098804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.027321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.285464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.276332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.251150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.176459' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.444347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.435002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.408466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.331557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.113717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.029751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.016263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.213476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.198932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.162862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.067868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.411539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.396471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.358659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.262794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.998363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.980824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.939342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.842748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.569878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.314113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.295497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.251365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.154334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.881691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.078966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.634790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.615108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.569337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.469344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.199428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.393975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.024099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.003168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.954691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.854022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.583580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.781446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.285375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.263894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.214453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.112447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.843412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.047715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.944732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.921560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.870368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.763516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.492755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.701248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.609755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.585124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.530921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.419202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.151727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.358487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.006863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.168172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.156977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.130850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.065411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.368976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.357889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.331973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.266145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.070407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.943443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.931708' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.904785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.838845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.643627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.041193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.245383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.233253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.206076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.139832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.945326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.342278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.548844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.536577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.508483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.442246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.250230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.645999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020222, 0.020222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020466, 0.020466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.037316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.034675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.025681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.000551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004985, 0.004985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002971, 0.002971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.161363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.143259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.104732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.016348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.354115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.337089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.298800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.210256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.931700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.912579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.869812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.779239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.555064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.243449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.223207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.178356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.086565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.864000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.242030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.559691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.538524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.491752' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.399059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.174775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.560179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001990, 0.001990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001945, 0.001945) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_lowhv_5.5v_lv_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019286, 0.019286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018507, 0.018507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 217, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025097, 0.025097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024644, 0.024644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033833, 0.033833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032644, 0.032644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 600, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017427, 0.017427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017381, 0.017381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 792, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028876, 0.028876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.027996, 0.027996) will be assigned to the attribute. (LBDB-1054) +Warning: Line 990, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.008897, 0.008897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.008912, 0.008912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1188, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1756, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 1952, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.850180, 0.850180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.856414, 0.856414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 2169, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.120581, 0.120581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.143906, 0.143906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.098192, 0.098192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.176862, 0.176862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2397, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2409, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.866088, 1.866088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.531797, 2.531797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045986, 0.045986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045989, 0.045989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006731, 0.006731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006416, 0.006416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004949, 0.004949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006623, 0.006623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006308, 0.006308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 851, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028484, 0.028484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028373, 0.028373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1102, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033119, 0.033119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032530, 0.032530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1293, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052544, 0.052544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051707, 0.051707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1485, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016757, 0.016757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016651, 0.016651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1683, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037416, 0.037416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036384, 0.036384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.013330, 0.013330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012664, 0.012664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2134, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.007022, 0.007022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2328, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017673, 0.017673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017565, 0.017565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2519, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005610, 0.005610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005320, 0.005320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2778, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005769, 0.005769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005310, 0.005310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3338, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025261, 0.025261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024267, 0.024267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006928, 0.006928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006611, 0.006611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3781, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.020202, 0.020202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018312, 0.018312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3973, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033266, 0.033266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033142, 0.033142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4173, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007164, 0.007164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006867, 0.006867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4432, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014331, 0.014331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.014156, 0.014156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4691, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4979, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.790866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.783013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.764218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.721609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.620193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.377830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.007402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.999293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.980763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.937421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.836704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.593219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.001907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.404455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.396601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.377865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.335492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.233732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.989733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.399238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.656028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.654774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.629442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.589192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.486642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.241921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.651035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.649811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.642391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.622903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.581726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.477600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.234470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.643233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.096807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.088613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.070288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.028235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.926466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.683990' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.089942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.399565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.351770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.240139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.997404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.436911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.711765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.669102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.564377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.337051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-42.729706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.294090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.247391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.150139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.901314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.311928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.021637' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.909515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.658936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5239, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5747, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.760140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.752320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.726753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.665465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.494526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.976628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.967667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.943239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.881958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.711017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.182527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.373495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.364943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.340112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.278814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.107878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.579330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.625081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.618309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.592849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.530367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.359473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.830878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.140634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.619306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.610521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.585040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.523108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.352532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.823952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.133460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.066992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.056700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.030780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.971837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.800261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.271756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.588689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.357597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.288794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.129681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-27.601118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-25.899910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.704998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.695965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.687366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.638424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.437828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-42.912441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.223488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.250931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.188744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.018341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-112.496468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-110.804062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.061371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.957520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.855011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.274750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-383.605011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 6007, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.140543, 0.140543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.140242, 0.140242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.133581, 0.133581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.133241, 0.133241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rbfuxd3/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' +Loading db file '/tmp/_pt1rR1IkJJ/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' +Loading db file '/tmp/_pt1rrCAHnI/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rVqoJwN/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rtaOQ0q/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rRJx7mv/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rvlkdqH/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rtq13cX/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rFCWuJg/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rnVQ0YD/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rNOVUY4/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1655/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1655/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1657/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1657/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1300/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1300/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1384/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1384/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1385/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1385/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1387/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1387/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1163/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1163/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1107/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1107/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout958/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout958/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout939/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout939/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59920. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/min/caravan.ff.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:26:30 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ff.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ff_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ff_test.db' +Information: Elapsed time for lib file writing: 0 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 978 878 +DB-1 Error 12 12 +PARA-040 Warning 314319 313919 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2793.31 MB +CPU usage for this session: 206 seconds +Elapsed time for this session: 77 seconds +Diagnostics summary: 69 errors, 201 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-min-s-sta.log b/scripts/logs/caravan/caravan-min-s-sta.log new file mode 100644 index 00000000..9c5ee659 --- /dev/null +++ b/scripts/logs/caravan/caravan-min-s-sta.log @@ -0,0 +1,5518 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' ... +Warning: Line 6, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 6, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004604, 0.004604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004810, 0.004810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004366, 0.004366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007948, 0.007948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008095, 0.008095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008676, 0.008676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008760, 0.008760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004042, 0.004042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003997, 0.003997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004407, 0.004407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003993, 0.003993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009171, 0.009171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008480, 0.008480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009292, 0.009292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008823, 0.008823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001552, 0.001552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001250, 0.001250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001195, 0.001195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004419, 0.004419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004799, 0.004799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008039, 0.008039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009264, 0.009264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008550, 0.008550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008561, 0.008561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008558, 0.008558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008752, 0.008752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004280, 0.004280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004016, 0.004016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003921, 0.003921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003998, 0.003998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004439, 0.004439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004858, 0.004858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004391, 0.004391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008429, 0.008429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009232, 0.009232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008515, 0.008515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008458, 0.008458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009094, 0.009094) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008717, 0.008717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007670, 0.007670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002106, 0.002106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004827, 0.004827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004457, 0.004457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004389, 0.004389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003906, 0.003906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008320, 0.008320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007826, 0.007826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008689, 0.008689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007949, 0.007949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007774, 0.007774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008773, 0.008773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007778, 0.007778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001257, 0.001257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004920, 0.004920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004554, 0.004554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004797, 0.004797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004450, 0.004450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004526, 0.004526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004080, 0.004080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004312, 0.004312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008831, 0.008831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008146, 0.008146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008511, 0.008511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008500, 0.008500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007986, 0.007986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004055, 0.004055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004031, 0.004031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003988, 0.003988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004447, 0.004447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007924, 0.007924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008664, 0.008664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008557, 0.008557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007680, 0.007680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008765, 0.008765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007690, 0.007690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004059, 0.004059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004944, 0.004944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008433, 0.008433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008010, 0.008010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007897, 0.007897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008713, 0.008713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004090, 0.004090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004349, 0.004349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004506, 0.004506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004135, 0.004135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004272, 0.004272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003928, 0.003928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008329, 0.008329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007936, 0.007936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008306, 0.008306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007745, 0.007745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008402, 0.008402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008771, 0.008771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007742, 0.007742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004005, 0.004005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004443, 0.004443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004169, 0.004169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004009, 0.004009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004123, 0.004123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008377, 0.008377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007919, 0.007919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008352, 0.008352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007874, 0.007874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001379, 0.001379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001384, 0.001384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001378, 0.001378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001498, 0.001498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001429, 0.001429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001493, 0.001493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001468, 0.001468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001460, 0.001460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001424, 0.001424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001420, 0.001420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001464, 0.001464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002040, 0.002040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008992, 0.008992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013361, 0.013361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012231, 0.012231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006854, 0.006854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006307, 0.006307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006807, 0.006807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006262, 0.006262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002035, 0.002035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001919, 0.001919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007578, 0.007578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006758, 0.006758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002014, 0.002014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002057, 0.002057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002052, 0.002052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002003, 0.002003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002810, 0.002810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039236, 0.039236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.034593, 0.034593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005301, 0.005301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010420, 0.010420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020650, 0.020650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018288, 0.018288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004499, 0.004499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003914, 0.003914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008666, 0.008666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007504, 0.007504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 48147, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 48167, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 48176, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003274, 0.003274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003059, 0.003059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48452, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001507, 0.001507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001422, 0.001422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48523, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48581, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003185, 0.003185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49228, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49299, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49357, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003031, 0.003031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50004, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50075, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50133, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001500, 0.001500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003181, 0.003181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003166, 0.003166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50732, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50763, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003183, 0.003183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51214, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51245, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001829, 0.001829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51696, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51727, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003150, 0.003150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52051, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52082, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003169, 0.003169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52406, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52437, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003187, 0.003187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003159, 0.003159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52761, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52792, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53090, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002118, 0.002118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003060, 0.003060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53465, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53572, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003089, 0.003089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002933, 0.002933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53947, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54054, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54302, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54409, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002981, 0.002981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54657, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54764, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003012, 0.003012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002975, 0.002975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55012, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55102, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55422, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55742, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55979, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56216, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001441, 0.001441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001020, 0.001020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000970, 0.000970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56485, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003872, 0.003872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56588, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56721, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003853, 0.003853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56824, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56957, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004623, 0.004623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57060, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57246, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57337, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57924, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58015, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58602, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001678, 0.001678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58693, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59280, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001596, 0.001596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59371, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59958, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60049, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60405, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60496, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60852, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60943, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61299, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61390, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61746, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61837, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62193, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001659, 0.001659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62284, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62657, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63113, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63569, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64025, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64324, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64623, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001663, 0.001663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64922, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.532146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001759, 0.001759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003206, 0.003206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002869, 0.002869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.066298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.756429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003731, 0.003731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.550320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.534800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007326, 0.007326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006335, 0.006335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.110567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.575594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.550158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.195659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011551, 0.011551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009770, 0.009770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67263, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003109, 0.003109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67592, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003038, 0.003038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.384578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.601450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003081, 0.003081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.069015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.475774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.472299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.462907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.408319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.595829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.594581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.591913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003640, 0.003640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.048371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.381203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008356, 0.008356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007117, 0.007117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006111, 0.006111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.623284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.621385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.620221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016865, 0.016865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015503, 0.015503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011194, 0.011194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009428, 0.009428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.446301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.690382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.508987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.657669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.653171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.650483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003462, 0.003462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.768272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008525, 0.008525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005531, 0.005531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005902, 0.005902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.018540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.123548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.457073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.454167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.433003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429673' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.630061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.602579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016772, 0.016772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015476, 0.015476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008164, 0.008164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009075, 0.009075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.430031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.427589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006433, 0.006433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006192, 0.006192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005830, 0.005830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005597, 0.005597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004353, 0.004353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007553, 0.007553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007161, 0.007161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006893, 0.006893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006524, 0.006524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004979, 0.004979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004865, 0.004865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007566, 0.007566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007172, 0.007172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006927, 0.006927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006552, 0.006552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005006, 0.005006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006760, 0.006760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006438, 0.006438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006136, 0.006136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004742, 0.004742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007973, 0.007973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002868, 0.002868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002678, 0.002678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003207, 0.003207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003153, 0.003153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003147, 0.003147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009380, 0.009380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008748, 0.008748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007950, 0.007950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.025860, 0.025860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.023989, 0.023989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.033453, 0.033453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031064, 0.031064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004413, 0.004413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008899, 0.008899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013140, 0.013140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012210, 0.012210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017473, 0.017473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016230, 0.016230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002034, 0.002034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007572, 0.007572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006777, 0.006777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002004, 0.002004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003587, 0.003587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003058, 0.003058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002752, 0.002752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039514, 0.039514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035028, 0.035028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005315, 0.005315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004722, 0.004722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010442, 0.010442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009267, 0.009267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020716, 0.020716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018410, 0.018410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79460, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008847, 0.008847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.033355, 0.033355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.030009, 0.030009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004322, 0.004322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017115, 0.017115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015411, 0.015411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008792, 0.008792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008139, 0.008139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005621, 0.005621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005706, 0.005706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81857, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81877, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002602, 0.002602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002822, 0.002822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003189, 0.003189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002990, 0.002990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003026, 0.003026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002742, 0.002742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003851, 0.003851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004692, 0.004692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003082, 0.003082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004917, 0.004917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005267, 0.005267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.007885, 0.007885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007356, 0.007356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002113, 0.002113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004616, 0.004616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003915, 0.003915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006427, 0.006427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.005981, 0.005981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008314, 0.008314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007587, 0.007587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008472, 0.008472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011118, 0.011118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010415, 0.010415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001390, 0.001390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.003799, 0.003799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003539, 0.003539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005359, 0.005359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004969, 0.004969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002950, 0.002950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001634, 0.001634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005370, 0.005370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004971, 0.004971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003100, 0.003100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008467, 0.008467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008009, 0.008009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008842, 0.008842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008111, 0.008111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016845, 0.016845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015925, 0.015925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017293, 0.017293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015826, 0.015826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001309, 0.001309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001241, 0.001241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001213, 0.001213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001163, 0.001163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008884, 0.008884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004297, 0.004297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008588, 0.008588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008055, 0.008055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008089, 0.008089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001221, 0.001221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004516, 0.004516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008508, 0.008508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007958, 0.007958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008394, 0.008394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008021, 0.008021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008554, 0.008554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004184, 0.004184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004160, 0.004160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008509, 0.008509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008806, 0.008806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001367, 0.001367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004442, 0.004442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002355, 0.002355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008384, 0.008384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007858, 0.007858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008548, 0.008548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007857, 0.007857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008712, 0.008712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008790, 0.008790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017014, 0.017014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015723, 0.015723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017164, 0.017164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015427, 0.015427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008686, 0.008686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008022, 0.008022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009177, 0.009177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008608, 0.008608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007673, 0.007673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001145, 0.001145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008774, 0.008774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007763, 0.007763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004424, 0.004424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003894, 0.003894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007992, 0.007992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008761, 0.008761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007664, 0.007664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008818, 0.008818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007727, 0.007727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001298, 0.001298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007814, 0.007814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008654, 0.008654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001350, 0.001350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001242, 0.001242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001285, 0.001285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001225, 0.001225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008665, 0.008665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008011, 0.008011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007868, 0.007868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004397, 0.004397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004125, 0.004125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004731, 0.004731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004354, 0.004354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002121, 0.002121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003968, 0.003968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004308, 0.004308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008738, 0.008738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008037, 0.008037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007901, 0.007901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008321, 0.008321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008006, 0.008006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004894, 0.004894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004537, 0.004537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004966, 0.004966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004054, 0.004054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004381, 0.004381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008385, 0.008385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007772, 0.007772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008430, 0.008430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007978, 0.007978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004869, 0.004869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004852, 0.004852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004350, 0.004350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004108, 0.004108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009185, 0.009185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008464, 0.008464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008144, 0.008144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001268, 0.001268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001215, 0.001215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001238, 0.001238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004402, 0.004402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001200, 0.001200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008900, 0.008900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008208, 0.008208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003948, 0.003948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004758, 0.004758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004477, 0.004477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004368, 0.004368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004300, 0.004300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004416, 0.004416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004886, 0.004886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009191, 0.009191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008452, 0.008452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007748, 0.007748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008979, 0.008979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008344, 0.008344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007574, 0.007574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007994, 0.007994) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002466, 0.002466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004837, 0.004837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004853, 0.004853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004290, 0.004290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004382, 0.004382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004013, 0.004013) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008443, 0.008443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008709, 0.008709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007759, 0.007759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001311, 0.001311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001339, 0.001339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004845, 0.004845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002172, 0.002172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004866, 0.004866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004823, 0.004823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003970, 0.003970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008776, 0.008776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008060, 0.008060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008724, 0.008724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008268, 0.008268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008018, 0.008018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007753, 0.007753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002412, 0.002412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004461, 0.004461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004008, 0.004008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002129, 0.002129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002306, 0.002306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004138, 0.004138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004481, 0.004481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004207, 0.004207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008685, 0.008685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008005, 0.008005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007775, 0.007775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008886, 0.008886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007827, 0.007827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008415, 0.008415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004438, 0.004438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004012, 0.004012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008688, 0.008688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008672, 0.008672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007786, 0.007786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008999, 0.008999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008073, 0.008073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004067, 0.004067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003930, 0.003930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004478, 0.004478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003989, 0.003989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004018, 0.004018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008076, 0.008076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007630, 0.007630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007767, 0.007767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008455, 0.008455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007982, 0.007982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002102, 0.002102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003868, 0.003868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003877, 0.003877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004224, 0.004224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003882, 0.003882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003858, 0.003858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003824, 0.003824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008698, 0.008698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008029, 0.008029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007765, 0.007765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008652, 0.008652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007710, 0.007710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008606, 0.008606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008229, 0.008229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001325, 0.001325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001233, 0.001233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002114, 0.002114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001385, 0.001385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001260, 0.001260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001210, 0.001210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001410, 0.001410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001341, 0.001341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001252, 0.001252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001192, 0.001192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002090, 0.002090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001269, 0.001269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001327, 0.001327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001275, 0.001275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001334, 0.001334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001322, 0.001322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001503, 0.001503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001258, 0.001258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001256, 0.001256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006894, 0.006894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006344, 0.006344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006912, 0.006912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006357, 0.006357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003188, 0.003188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003119, 0.003119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148513, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148584, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148642, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003178, 0.003178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003023, 0.003023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149689, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149760, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149818, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003114, 0.003114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150865, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150936, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150994, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003134, 0.003134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151925, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151956, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003135, 0.003135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152742, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152773, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001834, 0.001834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003263, 0.003263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153549, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153580, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003144, 0.003144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003117, 0.003117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154229, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154260, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003803, 0.003803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003136, 0.003136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154909, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154940, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155589, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155620, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156219, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003629, 0.003629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156717, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157002, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003776, 0.003776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002968, 0.002968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003029, 0.003029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157500, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157780, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001812, 0.001812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003691, 0.003691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002931, 0.002931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158151, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158431, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158802, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159082, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002927, 0.002927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159453, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159669, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003545, 0.003545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160238, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003548, 0.003548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003405, 0.003405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160802, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161283, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161764, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003463, 0.003463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003323, 0.003323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162132, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162235, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162455, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003070, 0.003070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162558, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162778, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162881, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163516, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003411, 0.003411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002955, 0.002955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164273, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003107, 0.003107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003057, 0.003057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164942, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003331, 0.003331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165611, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003289, 0.003289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002958, 0.002958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166280, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003033, 0.003033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016878, 0.016878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015722, 0.015722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016016, 0.016016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015480, 0.015480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005377, 0.005377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005190, 0.005190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003434, 0.003434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003270, 0.003270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005188, 0.005188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003271, 0.003271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004378, 0.004378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009035, 0.009035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008283, 0.008283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007804, 0.007804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017537, 0.017537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016337, 0.016337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015428, 0.015428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014483, 0.014483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003441, 0.003441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003276, 0.003276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005186, 0.005186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003443, 0.003443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003277, 0.003277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005371, 0.005371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003422, 0.003422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56383, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66995, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67407, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76773, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 148072, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149248, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 150424, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 163023, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163780, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164537, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165206, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165875, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ss_1.40v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 4489, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4698, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4884, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5106, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5315, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5524, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 5555, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 5576, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 5584, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007147, 0.007147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007012, 0.007012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5746, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007294, 0.007294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007250, 0.007250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6349, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004241, 0.004241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7229, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003783, 0.003783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7669, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Information: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', No internal_power information for the 'sky130_fd_sc_hvl__diode_2' cell. (LBDB-301) +Warning: Line 8451, Cell 'sky130_fd_sc_hvl__diode_2', pin 'DIODE', The pin 'DIODE' does not have a internal_power group. (LBDB-607) +Warning: Line 8534, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005259, 0.005259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005005, 0.005005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8886, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9865, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.144430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.273670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.411600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10234, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.008700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.247330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.390070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.541400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10690, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 10876, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11062, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11248, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11434, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22486, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007700, 0.007700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22748, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004629, 0.004629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007177, 0.007177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007025, 0.007025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23667, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004766, 0.004766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001970, 0.001970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002021, 0.002021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003873, 0.003873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24856, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004052, 0.004052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25780, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002045, 0.002045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003889, 0.003889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002137, 0.002137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003910, 0.003910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27160, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005256, 0.005256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004957, 0.004957) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002654, 0.002654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004449, 0.004449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010960, 0.010960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010209, 0.010209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010983, 0.010983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010232, 0.010232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007420, 0.007420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007457, 0.007457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002881, 0.002881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005336, 0.005336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004820, 0.004820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27504, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ss_3.00v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018530, 0.018530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017760, 0.017760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025026, 0.025026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024734, 0.024734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032815, 0.032815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031560, 0.031560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017514, 0.017514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.029344, 0.029344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028709, 0.028709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009877, 0.009877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009906, 0.009906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.990185, 0.990185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.991811, 0.991811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.097971, 0.097971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.128263, 0.128263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.084276, 0.084276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.165904, 0.165904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.681037, 1.681037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.280628, 2.280628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050068, 0.050068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050067, 0.050067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045975, 0.045975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045964, 0.045964) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006406, 0.006406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006101, 0.006101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006303, 0.006303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.005993, 0.005993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028238, 0.028238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028330, 0.028330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.032997, 0.032997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032246, 0.032246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052331, 0.052331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051232, 0.051232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016839, 0.016839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016640, 0.016640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037125, 0.037125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.035950, 0.035950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012274, 0.012274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.011943, 0.011943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006697, 0.006697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006389, 0.006389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017754, 0.017754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017546, 0.017546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005264, 0.005264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005643, 0.005643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.024920, 0.024920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.023840, 0.023840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006603, 0.006603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006311, 0.006311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018759, 0.018759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017072, 0.017072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033160, 0.033160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033120, 0.033120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.006838, 0.006838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006553, 0.006553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.013998, 0.013998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013853, 0.013853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1858, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.153863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.139519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.110147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.048725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.927259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.674201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.142458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.553693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.534817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.509755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.437821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.316123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.063051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-13.542736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.610325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.586590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.544838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.496433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.395439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.121498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.585594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.241135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.065567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.014389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.709442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.172791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2255, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.106228' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.093183' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.038794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.927276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.675565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.090203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.545608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.495994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.479626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.439888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.313647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.076580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-13.480126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.933656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.495136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.363235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.140987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.536327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.993378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115555' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.054886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.941315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.723587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.124252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-163.561646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.155824, 0.155824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.154452, 0.154452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.148497, 0.148497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.147747, 0.147747) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rT2ll5g/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' +Loading db file '/tmp/_pt1r3z9ebY/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rjPMEQT/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rvo2FAU/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rDDIJAZ/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rHgDnz8/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rBe71hl/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rH6c0KB/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rL0gYZV/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rrpSA0j/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj2_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for rstb_level/lvlshiftdown/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69323 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59811. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/min/caravan.ss.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:22:35 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 2 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ss.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ss_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.ss_test.db' +Information: Elapsed time for lib file writing: 1 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 977 877 +DB-1 Error 11 11 +PARA-040 Warning 314319 313919 +LNK-043 Information 190193 190093 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2780.86 MB +CPU usage for this session: 211 seconds +Elapsed time for this session: 72 seconds +Diagnostics summary: 69 errors, 147 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Failed! +There are setup violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/min/caravan.ss-global.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-min-t-sta.log b/scripts/logs/caravan/caravan-min-t-sta.log new file mode 100644 index 00000000..581878f4 --- /dev/null +++ b/scripts/logs/caravan/caravan-min-t-sta.log @@ -0,0 +1,5895 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002558, 0.002558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002636, 0.002636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004041, 0.004041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004700, 0.004700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002456, 0.002456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004981, 0.004981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004693, 0.004693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005098, 0.005098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004768, 0.004768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.031164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.008511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008747, 0.008747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009158, 0.009158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009027, 0.009027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007879, 0.007879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009050, 0.009050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007722, 0.007722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007686, 0.007686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005009, 0.005009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004771, 0.004771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.022793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.009354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004504, 0.004504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004635, 0.004635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003990, 0.003990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.050150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.041715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.022543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008120, 0.008120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008669, 0.008669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009735, 0.009735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008492, 0.008492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009248, 0.009248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007905, 0.007905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001290, 0.001290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001653, 0.001653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008232, 0.008232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009711, 0.009711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008759, 0.008759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004584, 0.004584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004216, 0.004216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004558, 0.004558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.045583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.030379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005052, 0.005052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.058600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.048449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.025076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008917, 0.008917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009710, 0.009710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008767, 0.008767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007966, 0.007966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002084, 0.002084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002067, 0.002067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004660, 0.004660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004096, 0.004096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003942, 0.003942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003945, 0.003945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.013339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.001996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004479, 0.004479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005000, 0.005000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004532, 0.004532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005071, 0.005071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.031301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.023484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.004918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008779, 0.008779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008063, 0.008063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009626, 0.009626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008769, 0.008769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007834, 0.007834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009413, 0.009413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009150, 0.009150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007685, 0.007685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005032, 0.005032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005223, 0.005223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004403, 0.004403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004585, 0.004585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.014818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009081, 0.009081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008153, 0.008153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008795, 0.008795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007882, 0.007882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009204, 0.009204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001795, 0.001795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005141, 0.005141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004658, 0.004658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004539, 0.004539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002647, 0.002647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004857, 0.004857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009229, 0.009229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008295, 0.008295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009424, 0.009424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008086, 0.008086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009633, 0.009633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008728, 0.008728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004489, 0.004489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003929, 0.003929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003883, 0.003883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004015, 0.004015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003961, 0.003961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.038276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.012158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008647, 0.008647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008215, 0.008215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008134, 0.008134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009046, 0.009046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008163, 0.008163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009218, 0.009218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002458, 0.002458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004454, 0.004454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004941, 0.004941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004543, 0.004543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004814, 0.004814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.025260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.010609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004519, 0.004519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.055740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.045831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.022251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008186, 0.008186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008725, 0.008725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008109, 0.008109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009082, 0.009082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009156, 0.009156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007865, 0.007865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002656, 0.002656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004441, 0.004441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004739, 0.004739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003949, 0.003949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.001095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004730, 0.004730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003983, 0.003983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.013524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.002596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008539, 0.008539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008536, 0.008536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007916, 0.007916) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008732, 0.008732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004328, 0.004328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004082, 0.004082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004565, 0.004565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004228, 0.004228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004164, 0.004164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004896, 0.004896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.018457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.001893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004250, 0.004250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004201, 0.004201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004821, 0.004821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.047026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.037099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.013469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008512, 0.008512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008127, 0.008127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008126, 0.008126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008640, 0.008640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007928, 0.007928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008986, 0.008986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009175, 0.009175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007784, 0.007784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001403, 0.001403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001614, 0.001614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001511, 0.001511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001490, 0.001490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001508, 0.001508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001605, 0.001605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001807, 0.001807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004380, 0.004380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007156, 0.007156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006413, 0.006413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007894, 0.007894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006900, 0.006900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002055, 0.002055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002048, 0.002048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003251, 0.003251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002903, 0.002903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040395, 0.040395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035097, 0.035097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005516, 0.005516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010900, 0.010900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009536, 0.009536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021581, 0.021581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018840, 0.018840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004881, 0.004881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009398, 0.009398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007975, 0.007975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003556, 0.003556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003378, 0.003378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001866, 0.001866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003349, 0.003349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003619, 0.003619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003495, 0.003495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003609, 0.003609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003493, 0.003493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001871, 0.001871) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003612, 0.003612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003638, 0.003638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003484, 0.003484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003424, 0.003424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003437, 0.003437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003420, 0.003420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003305, 0.003305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003419, 0.003419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003300, 0.003300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000900, 0.000900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000857, 0.000857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001756, 0.001756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004680, 0.004680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002598, 0.002598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001840, 0.001840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001822, 0.001822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003338, 0.003338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.023197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.103743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001893, 0.001893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003755, 0.003755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.016831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.014335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.005712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.094351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.088057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007476, 0.007476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006259, 0.006259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011596, 0.011596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009482, 0.009482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003229, 0.003229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002778, 0.002778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004314, 0.004314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.014655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008655, 0.008655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007284, 0.007284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006081, 0.006081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.048815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.034621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.000559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.012612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.010011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.005340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.081167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.076063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017495, 0.017495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015744, 0.015744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011257, 0.011257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009174, 0.009174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.055534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.045856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.020443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.001195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.038353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.015109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005896, 0.005896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006151, 0.006151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.043313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.028277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.064556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.014094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017385, 0.017385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015686, 0.015686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009420, 0.009420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.051780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.041999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.015286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.070858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.061342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.035868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006896, 0.006896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006561, 0.006561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006181, 0.006181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005872, 0.005872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007445, 0.007445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007176, 0.007176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006732, 0.006732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005159, 0.005159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005020, 0.005020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007951, 0.007951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007450, 0.007450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007199, 0.007199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006756, 0.006756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005033, 0.005033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004923, 0.004923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006956, 0.006956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006450, 0.006450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006689, 0.006689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006176, 0.006176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004960, 0.004960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008812, 0.008812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008072, 0.008072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004940, 0.004940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004472, 0.004472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002832, 0.002832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002844, 0.002844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003604, 0.003604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003355, 0.003355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003282, 0.003282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003272, 0.003272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009705, 0.009705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008914, 0.008914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008024, 0.008024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.005217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.000923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.081672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.075048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.053420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027227, 0.027227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024796, 0.024796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.003564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.080721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.075057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.056606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.000914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.034999, 0.034999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031884, 0.031884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.027560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.053742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.039839' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.003689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009408, 0.009408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.002576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.071734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.060923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.030405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013888, 0.013888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012656, 0.012656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.002589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.072666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.063999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.037824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018467, 0.018467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006955, 0.006955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002842, 0.002842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040723, 0.040723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035810, 0.035810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005526, 0.005526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004849, 0.004849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010924, 0.010924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009576, 0.009576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021645, 0.021645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019033, 0.019033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.066967' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.062734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.049776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.013577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009203, 0.009203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034647, 0.034647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029999, 0.029999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.071276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.064590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.046385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017977, 0.017977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015617, 0.015617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008286, 0.008286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006037, 0.006037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006058, 0.006058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005975, 0.005975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002659, 0.002659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002959, 0.002959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002819, 0.002819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003863, 0.003863) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003573, 0.003573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004404, 0.004404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003870, 0.003870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001805, 0.001805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003285, 0.003285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003078, 0.003078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002130, 0.002130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005372, 0.005372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004915, 0.004915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005045, 0.005045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004490, 0.004490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005398, 0.005398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004803, 0.004803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007498, 0.007498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004829, 0.004829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004098, 0.004098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003937, 0.003937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006716, 0.006716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006107, 0.006107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008585, 0.008585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007616, 0.007616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007809, 0.007809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011598, 0.011598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010652, 0.010652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004089, 0.004089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002744, 0.002744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005766, 0.005766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003279, 0.003279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005774, 0.005774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005150, 0.005150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003283, 0.003283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.002888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004304, 0.004304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.025064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.011335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.007832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008796, 0.008796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008279, 0.008279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.028073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.018930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.015941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.008018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017428, 0.017428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016439, 0.016439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018096, 0.018096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016314, 0.016314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001317, 0.001317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004346, 0.004346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.007935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009311, 0.009311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004281, 0.004281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008285, 0.008285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009225, 0.009225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008326, 0.008326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001504, 0.001504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004665, 0.004665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004212, 0.004212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008778, 0.008778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008167, 0.008167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004274, 0.004274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008696, 0.008696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008878, 0.008878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008188, 0.008188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009202, 0.009202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004292, 0.004292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004251, 0.004251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008736, 0.008736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008273, 0.008273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008983, 0.008983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008281, 0.008281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008277, 0.008277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001608, 0.001608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004284, 0.004284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004696, 0.004696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008258, 0.008258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009201, 0.009201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008284, 0.008284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.022069' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004611, 0.004611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.059367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.023511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009289, 0.009289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008085, 0.008085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.071037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.064388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.045444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017855, 0.017855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016099, 0.016099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018032, 0.018032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015685, 0.015685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004675, 0.004675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.050968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.042379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009128, 0.009128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008219, 0.008219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009629, 0.009629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009008, 0.009008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001236, 0.001236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009197, 0.009197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007832, 0.007832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004006, 0.004006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004668, 0.004668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003926, 0.003926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.032950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.010231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008152, 0.008152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009170, 0.009170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007697, 0.007697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009298, 0.009298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007788, 0.007788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004681, 0.004681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001381, 0.001381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009069, 0.009069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009032, 0.009032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007725, 0.007725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001340, 0.001340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001314, 0.001314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009102, 0.009102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002581, 0.002581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002543, 0.002543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005034, 0.005034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004528, 0.004528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004023, 0.004023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004288, 0.004288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009109, 0.009109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009053, 0.009053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007812, 0.007812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008815, 0.008815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008488, 0.008488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008235, 0.008235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005106, 0.005106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005156, 0.005156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004687, 0.004687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004448, 0.004448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009525, 0.009525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008587, 0.008587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009423, 0.009423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008708, 0.008708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005077, 0.005077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004749, 0.004749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004689, 0.004689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001818, 0.001818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001604, 0.001604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002018, 0.002018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.003033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005070, 0.005070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004720, 0.004720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.018260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.007831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009611, 0.009611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008678, 0.008678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008993, 0.008993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004617, 0.004617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004595, 0.004595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004715, 0.004715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.009966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008437, 0.008437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007904, 0.007904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004922, 0.004922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005074, 0.005074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009584, 0.009584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008631, 0.008631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009093, 0.009093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009239, 0.009239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008592, 0.008592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008576, 0.008576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005035, 0.005035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004555, 0.004555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005024, 0.005024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004574, 0.004574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008635, 0.008635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009097, 0.009097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007898, 0.007898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009252, 0.009252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008607, 0.008607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007887, 0.007887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001358, 0.001358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001529, 0.001529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001432, 0.001432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005110, 0.005110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005064, 0.005064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002593, 0.002593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.002321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005125, 0.005125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004645, 0.004645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007212' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008519, 0.008519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009079, 0.009079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007889, 0.007889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004208, 0.004208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004825, 0.004825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001981, 0.001981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008200, 0.008200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007845, 0.007845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009251, 0.009251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007842, 0.007842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008746, 0.008746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008282, 0.008282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005116, 0.005116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004587, 0.004587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003918, 0.003918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004734, 0.004734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002672, 0.002672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002665, 0.002665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004662, 0.004662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003960, 0.003960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004540, 0.004540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008155, 0.008155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009033, 0.009033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009400, 0.009400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008789, 0.008789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008335, 0.008335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003936, 0.003936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004029, 0.004029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009062, 0.009062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008256, 0.008256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008802, 0.008802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007701, 0.007701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009123, 0.009123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007811, 0.007811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008092, 0.008092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004248, 0.004248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003875, 0.003875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003856, 0.003856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004305, 0.004305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004634, 0.004634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009071, 0.009071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008980, 0.008980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007846, 0.007846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007711, 0.007711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008824, 0.008824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008476, 0.008476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001288, 0.001288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001446, 0.001446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001267, 0.001267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001436, 0.001436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001261, 0.001261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002625, 0.002625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001400, 0.001400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001347, 0.001347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007235, 0.007235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006481, 0.006481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007255, 0.007255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003521, 0.003521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003347, 0.003347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002755, 0.002755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003510, 0.003510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003339, 0.003339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001846, 0.001846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001835, 0.001835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001682, 0.001682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002747, 0.002747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003541, 0.003541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003482, 0.003482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003475, 0.003475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003514, 0.003514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004053, 0.004053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003315, 0.003315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003528, 0.003528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003530, 0.003530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003533, 0.003533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003516, 0.003516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002037, 0.002037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001862, 0.001862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003388, 0.003388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003371, 0.003371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002068, 0.002068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003265, 0.003265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003264, 0.003264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003379, 0.003379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003261, 0.003261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003555, 0.003555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001914, 0.001914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003192, 0.003192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001905, 0.001905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003793, 0.003793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003496, 0.003496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001750, 0.001750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003800, 0.003800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001910, 0.001910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004486, 0.004486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001923, 0.001923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001843, 0.001843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003176, 0.003176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002982, 0.002982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003173, 0.003173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009040, 0.009040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008318, 0.008318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008077, 0.008077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017467, 0.017467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016065, 0.016065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016264, 0.016264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015593, 0.015593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003361, 0.003361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003597, 0.003597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005438, 0.005438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005122, 0.005122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009376, 0.009376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008584, 0.008584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008493, 0.008493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007837, 0.007837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018110, 0.018110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016609, 0.016609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015755, 0.015755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014412, 0.014412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003600, 0.003600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003370, 0.003370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005123, 0.005123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005432, 0.005432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005117, 0.005117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008751, 0.008751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.006150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.001544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014308, 0.014308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012970, 0.012970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007337, 0.007337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006676, 0.006676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006057, 0.006057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005982, 0.005982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__tt_1.80v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.039730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.079170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.120100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.142970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.198900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.138500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.025970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.038830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.136000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.030470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.073200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5204, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5401, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5575, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5784, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5981, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6178, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6187, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6207, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6215, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6670, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.006170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.033700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.057400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.326430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.482470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.645700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.002000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7071, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.003770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.030600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.074660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.182900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.528130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.118230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.062630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.001330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.721770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.253570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.190370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.120670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.043870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.921900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.399100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.328900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.251500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.166300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.072600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7551, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.051830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.027630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.018830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.008630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.116370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.083770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.070770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.062570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.053070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.156500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.127300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.115400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.107900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7725, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.003430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.022470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7899, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.034430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.092100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8073, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.063630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.003930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.098770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.043770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.034070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.009770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.039900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.023900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8247, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.124570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.174400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.004200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.131730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.203370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.277100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.036030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.083970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.141300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20712, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.030830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.082270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21423, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.148900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.058870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.154300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.038100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.001500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.199100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.082900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.046000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.023500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.071870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22900, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22908, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23393, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23401, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007535, 0.007535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007584, 0.007584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23776, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007693, 0.007693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24379, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25259, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002578, 0.002578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25699, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26529, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002083, 0.002083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26881, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002675, 0.002675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008241, 0.008241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28463, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005167, 0.005167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007660, 0.007660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007683, 0.007683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004861, 0.004861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002081, 0.002081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003835, 0.003835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004467, 0.004467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30762, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003805, 0.003805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31515, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32888, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005286, 0.005286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002774, 0.002774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002698, 0.002698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011656, 0.011656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010777, 0.010777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011678, 0.011678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010801, 0.010801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007679, 0.007679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007674, 0.007674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002967, 0.002967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002708, 0.002708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005448, 0.005448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004932, 0.004932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6446, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33232, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002638, 0.002638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003098, 0.003098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002878, 0.002878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001995, 0.001995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.225209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.201525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.146980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.026721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.391704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.365939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.307410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.184832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.566240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.539411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.479823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.352481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.052758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.784736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.755736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.691661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.562689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.261020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.934375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.904735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.839428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.708181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.404529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.318747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.286158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.216248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.078129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.773060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.718476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.683188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.607975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.463622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.156201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.341636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.049593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.033549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.387148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.370600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.332328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.243748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.017454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.572442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.555684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.516856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.427263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.201147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.762012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.744896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.705123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.614912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.388999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002758, 0.002758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020209, 0.020209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020523, 0.020523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002860, 0.002860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002719, 0.002719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.086021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.058984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.001293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.244441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.216012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.155736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.037036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.412861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.383811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.320781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.200201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_lowhv_3.3v_lv_1.8v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018729, 0.018729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017917, 0.017917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.024898, 0.024898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024519, 0.024519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032961, 0.032961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031456, 0.031456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017493, 0.017493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017367, 0.017367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028834, 0.028834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028032, 0.028032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009441, 0.009441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009463, 0.009463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.930286, 0.930286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.934543, 0.934543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.109474, 0.109474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.136220, 0.136220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.091868, 0.091868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.171782, 0.171782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.781897, 1.781897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.416589, 2.416589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050119, 0.050119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050121, 0.050121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045921, 0.045921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045944, 0.045944) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006624, 0.006624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006255, 0.006255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006515, 0.006515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006147, 0.006147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028245, 0.028245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028236, 0.028236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033150, 0.033150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032418, 0.032418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052525, 0.052525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051413, 0.051413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016681, 0.016681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037418, 0.037418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036167, 0.036167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012603, 0.012603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012006, 0.012006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006908, 0.006908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006543, 0.006543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017763, 0.017763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017592, 0.017592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005502, 0.005502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005179, 0.005179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005650, 0.005650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005053, 0.005053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025205, 0.025205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024019, 0.024019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006821, 0.006821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006458, 0.006458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019112, 0.019112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033093, 0.033093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032927, 0.032927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007059, 0.007059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014241, 0.014241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013990, 0.013990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.042757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.032270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.012360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.439434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.429079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.404242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.355781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.245215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.043051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.030795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.010942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.959405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.849240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.598452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.029980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.101233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.048019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.948344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.686680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.333830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.249725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.155670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.889290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.351507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.749252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.644386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.354912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-50.822262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.218994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.208313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.185562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.149628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.992172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.805222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.237244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.374786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.361370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.325778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.236634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.020012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.978591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.965514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.929597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.840463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.627314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.060942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.066889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.064111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.017964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.940091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.722996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.160610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.541795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.219913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.131351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.948118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.368265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-15.748919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.775383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.759960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.701820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.635288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.408398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-50.855423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-49.236000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.155136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.016846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.835297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.205658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-182.585785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.149251, 0.149251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.147827, 0.147827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4733, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.142339, 0.142339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.140989, 0.140989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1rbwJdKL/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' +Loading db file '/tmp/_pt1rImQIa9/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' +Loading db file '/tmp/_pt1rXATA6L/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rwfMhPu/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rhEuMzh/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rs2fpE8/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rdbIOK3/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rAaZwE2/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rXVttm5/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rUC3pRb/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rX6hEbm/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59861. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/min/caravan.tt.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:18:52 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.tt.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.tt_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/min/caravan.tt_test.db' +Information: Elapsed time for lib file writing: 0 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 978 878 +DB-1 Error 12 12 +PARA-040 Warning 314319 313919 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2790.07 MB +CPU usage for this session: 211 seconds +Elapsed time for this session: 73 seconds +Diagnostics summary: 69 errors, 187 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! +There are max_transition and max_capacitance violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/min/caravan.tt-all_viol.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-nom-f-sta.log b/scripts/logs/caravan/caravan-nom-f-sta.log new file mode 100644 index 00000000..ae4018a4 --- /dev/null +++ b/scripts/logs/caravan/caravan-nom-f-sta.log @@ -0,0 +1,16317 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 417, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.000179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.060159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.051175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 515, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.031716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.084222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.075121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.055444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 564, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.017249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.108668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.099050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.078264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 613, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'X', The 'values' attribute has a '-0.038411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.033804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.027071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1145, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.010279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.056008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.049277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1194, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.032268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.079028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.072095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.054573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1243, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'X', The 'values' attribute has a '-0.017229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002674, 0.002674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.016729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1775, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.030709' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.026041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1824, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.012610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.043980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.039199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1873, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'X', The 'values' attribute has a '-0.025349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004204, 0.004204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004819, 0.004819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003959, 0.003959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2329, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.008221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.070234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.057848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2427, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.036087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.092349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.078726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2476, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010881' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.010009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.110180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.094058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.065256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2525, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'Y', The 'values' attribute has a '-0.018690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001982, 0.001982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.077089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.067611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.047525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2959, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.011456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.045306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.035857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3008, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.016945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.130554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.121601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.103817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.070070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3057, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.023751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.017202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.004454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.153989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.144824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.125453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.089353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3106, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.026225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.041196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.033581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.018835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.181381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.169739' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.147456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.107370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3155, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'Y', The 'values' attribute has a '-0.038981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002597, 0.002597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002688, 0.002688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3589, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.072902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.066583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.052742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3638, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.022220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.012670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.133068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.127258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.113517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3687, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.029687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.025188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.161464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.154905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.140432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.110291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3736, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.053216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.001428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.056271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.050936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.016743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.207562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.199303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.181841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.147691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.084380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005015, 0.005015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005145, 0.005145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004804, 0.004804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.066813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.062224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.050822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4219, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.071985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.067860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.057269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4268, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.032486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.006998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.000613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.127068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.122922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.112650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.089018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4317, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.035146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.033068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.030449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.023218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.005834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.171264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.156357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.130338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4366, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.074048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.004775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.002379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.061838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.058234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.049598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.029280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.215883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.210229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.196479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.166087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.105356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008763, 0.008763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009153, 0.009153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008278, 0.008278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008065, 0.008065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009083, 0.009083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009310, 0.009310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007790, 0.007790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.024758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4738, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.015799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.010118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4787, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.001078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.071703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.062629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.043261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4836, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.005979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.074840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.065323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.044440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4885, Cell 'sky130_fd_sc_hd__a211o_1', pin 'X', The 'values' attribute has a '-0.004101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.043724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.036857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5308, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.019761' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.042052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.034998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5357, Cell 'sky130_fd_sc_hd__a211o_2', pin 'X', The 'values' attribute has a '-0.017118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5682, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.001083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.057654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.053352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.040762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5780, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.010420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.071610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.067175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.054114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5829, Cell 'sky130_fd_sc_hd__a211o_4', pin 'X', The 'values' attribute has a '-0.022216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005026, 0.005026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005285, 0.005285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.094734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.083315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.059461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6176, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.068640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.057421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6225, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.034730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.027523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.019651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.003854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.149713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.137944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.114920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6274, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.069484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.041401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.031856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.013445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.165251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.151075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.122877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6323, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'Y', The 'values' attribute has a '-0.071556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.081036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.054582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6648, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.015509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.073259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.047733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6697, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.007958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.029299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.012453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.149015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.141338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.123471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.086220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6746, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.011809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.006777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.002254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.059329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.052440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.037798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.008036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.198443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.188295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.165747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.120820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.039068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004716, 0.004716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.002948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.123141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.118203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.105841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.075550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7120, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.005501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.107175' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.102790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7169, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.061682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.040685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.037774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.008925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.176801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.172196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.159424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.129857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7218, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.066586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.009545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.006592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.068784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.064324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.053432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.029458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.225223' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.218624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.202098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.165544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.090910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008122, 0.008122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009588, 0.009588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008673, 0.008673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009839, 0.009839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009331, 0.009331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.047005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.038300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7513, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.019446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.032763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.023929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7562, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'X', The 'values' attribute has a '-0.004783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001768, 0.001768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.022488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.017866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8205, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.004916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8254, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.047861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8573, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.029577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.018204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8622, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8649, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'Y', The 'values' attribute has a '-0.000194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001900, 0.001900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.013259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.003663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.119859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.105987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.076834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8919, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.017002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.088304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.074714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8968, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'Y', The 'values' attribute has a '-0.045216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001815, 0.001815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.028703' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.022276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.007062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.149342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.140245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.118150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9265, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.070227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.014798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.008690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.124376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.115387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.093848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9314, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'Y', The 'values' attribute has a '-0.045871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005025, 0.005025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001707, 0.001707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.028617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.024607' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.153924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.148756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.093102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9611, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.005807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.017146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.013373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.003078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.133559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.128077' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.113197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9660, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'Y', The 'values' attribute has a '-0.075786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008964, 0.008964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008237, 0.008237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009733, 0.009733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008768, 0.008768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.039667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.030891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9935, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.012151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9984, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.008553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.100260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.090993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.070771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10033, Cell 'sky130_fd_sc_hd__a21o_1', pin 'X', The 'values' attribute has a '-0.031931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.007456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10281, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.000625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.064427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.057506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.040020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10379, Cell 'sky130_fd_sc_hd__a21o_2', pin 'X', The 'values' attribute has a '-0.003286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002616, 0.002616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.024072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.019733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10627, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.007065' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.005456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10676, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.001051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.081604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.077153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.064193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10725, Cell 'sky130_fd_sc_hd__a21o_4', pin 'X', The 'values' attribute has a '-0.032863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004630, 0.004630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005041, 0.005041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004209, 0.004209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.010447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.114821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.100707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10995, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.009837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.084040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.070150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11044, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.040676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.002835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.165573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.148787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.116116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11093, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'Y', The 'values' attribute has a '-0.054284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.027854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.021492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.006163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.147995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.138868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.116799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11341, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.069294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.122347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.113714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11390, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.044856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.013098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.007926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.060071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.042924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.008685' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.207900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.197224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.171735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.120099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.024586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004628, 0.004628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005062, 0.005062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004764, 0.004764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.027633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.023573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.152282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.146363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.131368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.089964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11687, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.000596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.016395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.002180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.132467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.126904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.111714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11736, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.015640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.012254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.003653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.073378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.068252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.055606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.026659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.220523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.212972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.194033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.151462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.063293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008945, 0.008945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009726, 0.009726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008775, 0.008775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12304, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.001918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.055772' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.045654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12353, Cell 'sky130_fd_sc_hd__a221o_1', pin 'X', The 'values' attribute has a '-0.024155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.024777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12983, Cell 'sky130_fd_sc_hd__a221o_2', pin 'X', The 'values' attribute has a '-0.017376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.047330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.042620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13613, Cell 'sky130_fd_sc_hd__a221o_4', pin 'X', The 'values' attribute has a '-0.028901' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004079, 0.004079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004010, 0.004010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004751, 0.004751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.034610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14069, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.013137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.038250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.027252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14118, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.003864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.078832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.067073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14167, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.042922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.070394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14216, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.045488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.029416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.020605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.144388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.131216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.104006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14265, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'Y', The 'values' attribute has a '-0.053799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.003396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.113376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.106000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.088659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14699, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.050393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.089035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.082355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.065089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14748, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.026911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.025067' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.019458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.153166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.144935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.125790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.085194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14797, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.012819' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.007388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.131023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.122999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.104654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14846, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.063928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.006792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.002574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.058472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.052114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.037931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.009508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.195499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.185909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.164775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.121522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.042776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004998, 0.004998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005095, 0.005095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004511, 0.004511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.000076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.113132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.108770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15329, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.062885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.095566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.090976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.079048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15378, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.048451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.016665' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.012835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.143359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.137797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.123156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.087517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15427, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.006520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.002971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.126381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.121106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.107579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15476, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.073854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.008498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.005707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.063940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.059732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.049128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.025268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.210292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.203994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.188039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.151636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.078475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008827, 0.008827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008038, 0.008038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008834, 0.008834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007933, 0.007933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009451, 0.009451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009271, 0.009271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007946, 0.007946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.013090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16112, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.001351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.050022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.038201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16210, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.010048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.029533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16259, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.016867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.069198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16308, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.068172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.053196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16357, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'Y', The 'values' attribute has a '-0.020919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.018090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16798, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.008040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.010222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16847, Cell 'sky130_fd_sc_hd__a22o_1', pin 'X', The 'values' attribute has a '-0.000198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.014742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17644, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.010357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.056114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.051641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.038384' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17742, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.039566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.035017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17791, Cell 'sky130_fd_sc_hd__a22o_4', pin 'X', The 'values' attribute has a '-0.021593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005044, 0.005044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004568, 0.004568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005281, 0.005281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.051338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18138, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.043948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18187, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.009425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.105353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.089628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18236, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055243' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.000290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.076612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18285, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'Y', The 'values' attribute has a '-0.042679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.089875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.082447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.057657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18610, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.001163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.081332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.072167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18659, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.048851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.034263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.026241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.005946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.149979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.137507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.107771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18708, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.042553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.025624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.017541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.139977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.128110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.099106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18757, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'Y', The 'values' attribute has a '-0.036016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004525, 0.004525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.113509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.107107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.089295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19082, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.106596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.100778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19131, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.046696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.040742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.024552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.177614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.168770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.144965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.088621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.038773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.033366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.018699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.167633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.159543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.137821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19229, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.084655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008637, 0.008637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008016, 0.008016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008101, 0.008101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009237, 0.009237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001618, 0.001618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001907, 0.001907) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001800, 0.001800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.031983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.029568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.022852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20498, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.006889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.044742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.042274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.035488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20547, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.019412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.020756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.016119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20623, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'X', The 'values' attribute has a '-0.003066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005153, 0.005153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004750, 0.004750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005016, 0.005016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004589, 0.004589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.032669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.024443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20948, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.007720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.075971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.067071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.049054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20997, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.091979' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.078748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21068, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.050292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.015490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.006113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.125625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.112247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.084250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21117, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'Y', The 'values' attribute has a '-0.026956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002682, 0.002682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.078814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.059489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21420, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.031812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.098790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.077725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21469, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.047597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.013692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.123075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.114127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.092381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21540, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.044404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.028818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.022441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.007089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.149939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.140762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.118713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21589, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'Y', The 'values' attribute has a '-0.070591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004798, 0.004798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004531, 0.004531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.072524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.068589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.058538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21892, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.035329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.102737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.098490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.087502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.062019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21941, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.003572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.015603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.001003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.130268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.124643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.109304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22012, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.070798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.027679' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.023152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.011690' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.152138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.146011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.129675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22061, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'Y', The 'values' attribute has a '-0.089603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009290, 0.009290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009527, 0.009527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008147, 0.008147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009648, 0.009648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008733, 0.008733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008885, 0.008885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008181, 0.008181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.056068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22600, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.036574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.065006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.054771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22649, Cell 'sky130_fd_sc_hd__a311o_1', pin 'X', The 'values' attribute has a '-0.033386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.038792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.031577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23230, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.014050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.034544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.027167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23279, Cell 'sky130_fd_sc_hd__a311o_2', pin 'X', The 'values' attribute has a '-0.008778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.047359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23860, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.029174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.061091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.056128' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.042280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23909, Cell 'sky130_fd_sc_hd__a311o_4', pin 'X', The 'values' attribute has a '-0.010355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004501, 0.004501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.081867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.069912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24365, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.047068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.064334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.052354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24414, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.027593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.051140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.040091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24463, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.017754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.029834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.022050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.006744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.154380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.142924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.120496' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.076918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24512, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.000668' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.043144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.033765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.015534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.167869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.153776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.126528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24561, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'Y', The 'values' attribute has a '-0.077792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.024359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 24995, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.040209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25044, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.047023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.039784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25093, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.022814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.028103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.023026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.011340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.151283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.143744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.126339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.089757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25142, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.052779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.046186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.032010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.002680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.188546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.178867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.156675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.112716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.031283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004347, 0.004347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004569, 0.004569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004153, 0.004153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004643, 0.004643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.031270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.025718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25625, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.011452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.048850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.043619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25674, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.070120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.065534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.053680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25723, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.024972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.033215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.029672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.021590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.001454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.165662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.160702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.148471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.119687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25772, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.055375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.007346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.004563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.064201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.059987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.049548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.026797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.214893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.208469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.192879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.157788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.088521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008687, 0.008687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008137, 0.008137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008935, 0.008935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009307, 0.009307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.013219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26144, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26193, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.003989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26242, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.009632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.077780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.067941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.047225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26291, Cell 'sky130_fd_sc_hd__a31o_1', pin 'X', The 'values' attribute has a '-0.008434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.040176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.032890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26763, Cell 'sky130_fd_sc_hd__a31o_2', pin 'X', The 'values' attribute has a '-0.015321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002557, 0.002557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.075898' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.071265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.057957' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27235, Cell 'sky130_fd_sc_hd__a31o_4', pin 'X', The 'values' attribute has a '-0.026462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004980, 0.004980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005183, 0.005183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004909, 0.004909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.102306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27582, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.058140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.087969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27631, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.043088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.073193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.060095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27680, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.031950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.002645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.047050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.036366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.015135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.165803' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.149975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.118879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.061654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28054, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.033283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.075563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.065543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28103, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.041417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.077605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.068567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28152, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.046929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.006701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.001768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.055712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.048233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.031549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.183857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.172693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.148639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.098730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.004686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004759, 0.004759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.075292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.068452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.048591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28526, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.000377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.082653' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.058771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28575, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.014738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.097728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.092125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.076779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28624, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.038209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.013627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.010180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.001523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.069791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.064663' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.051755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.023006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.213425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.205997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.187285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.144323' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.056441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008628, 0.008628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008196, 0.008196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008173, 0.008173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009282, 0.009282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008198, 0.008198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29065, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.068191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.058525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29212, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.037657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.034778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.024880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29261, Cell 'sky130_fd_sc_hd__a32o_1', pin 'X', The 'values' attribute has a '-0.003971' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.043549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.036576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29842, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.019042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.027658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.020622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29891, Cell 'sky130_fd_sc_hd__a32o_2', pin 'X', The 'values' attribute has a '-0.003295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002705, 0.002705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.011675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30472, Cell 'sky130_fd_sc_hd__a32o_4', pin 'X', The 'values' attribute has a '-0.006995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004503, 0.004503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004116, 0.004116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004746, 0.004746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.097689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.082471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 30977, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.080119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.064986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31026, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.031914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.063687' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31075, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.019817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.043388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.030837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.004832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.167501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.149962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.111941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31124, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.039891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.024374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.012301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.131087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.114233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.077007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31173, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'Y', The 'values' attribute has a '-0.006316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.071964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.061392' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31607, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.056809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.046337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31656, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.020165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.069215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.060373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31705, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.037365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.034624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.013346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.168970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.155518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.125266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.059405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.030438' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.021972' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.002190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.147483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.135248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.105917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31803, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.043134' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.059792' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.052856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32237, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.033478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.056106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.049546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32286, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.030879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.078457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.072848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.057347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32335, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.017344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.046490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.025699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.178911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.170451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.093942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.040047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.034755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.021053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.171524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.163677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.142892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32433, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.094099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008524, 0.008524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008125, 0.008125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008020, 0.008020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008811, 0.008811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009212, 0.009212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008001, 0.008001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.092748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.083278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.062736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33041, Cell 'sky130_fd_sc_hd__a41o_1', pin 'X', The 'values' attribute has a '-0.023786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002664, 0.002664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.036152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.028999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33671, Cell 'sky130_fd_sc_hd__a41o_2', pin 'X', The 'values' attribute has a '-0.011082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.058369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.053926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.040865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34301, Cell 'sky130_fd_sc_hd__a41o_4', pin 'X', The 'values' attribute has a '-0.009512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004577, 0.004577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004637, 0.004637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004429, 0.004429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.045845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.032694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34757, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.000171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.048198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.033702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34806, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.057550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.043782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34855, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.014159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.064559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.051573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34904, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.024017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.030272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.009420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.158558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.143476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.113260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34953, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'Y', The 'values' attribute has a '-0.056014' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.044170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.032748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35387, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.004662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.028309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35436, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.016835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.052362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.042436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35485, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.017756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.046935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35534, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.024344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.008248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.002987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.056474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.048658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.031329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.182309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.170590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.145241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.093019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004278, 0.004278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004371, 0.004371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.039198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.031920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36017, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.030351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.023272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36066, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.004332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.058230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.051963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36115, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.035003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.075842' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.070246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.055124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36164, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.018055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.012961' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.009616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.001204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.068076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.063045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.050447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.022680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.210037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.202694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.183801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.141488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.059327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008141, 0.008141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008115, 0.008115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007911, 0.007911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008058, 0.008058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009308, 0.009308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008206, 0.008206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001501, 0.001501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001786, 0.001786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001495, 0.001495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002552, 0.002552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001643, 0.001643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001524, 0.001524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.060343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.050036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44381, Cell 'sky130_fd_sc_hd__buf_1', pin 'X', The 'values' attribute has a '-0.028899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002070, 0.002070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001878, 0.001878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001719, 0.001719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.028276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.024774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44753, Cell 'sky130_fd_sc_hd__buf_6', pin 'X', The 'values' attribute has a '-0.013631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004913, 0.004913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001908, 0.001908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007232, 0.007232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006473, 0.006473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.060446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.050161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45373, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'X', The 'values' attribute has a '-0.029068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007963, 0.007963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007000, 0.007000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003724, 0.003724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47007, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'Y', The 'values' attribute has a '-0.014200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003243, 0.003243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002902, 0.002902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.017540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.014291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.001615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.100294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.095804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.078287' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47131, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'Y', The 'values' attribute has a '-0.019714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040148, 0.040148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035026, 0.035026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.005464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.063639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.047316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47255, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'Y', The 'values' attribute has a '-0.004249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005467, 0.005467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.019938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.012188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.095654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.084678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47379, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'Y', The 'values' attribute has a '-0.053071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010835, 0.010835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009535, 0.009535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.023409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.018585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.001722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.104471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.097920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.074596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47503, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'Y', The 'values' attribute has a '-0.006116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021483, 0.021483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018825, 0.018825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009724, 0.009724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008233, 0.008233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003746, 0.003746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003487, 0.003487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001793, 0.001793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001736, 0.001736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003710, 0.003710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003447, 0.003447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001802, 0.001802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003718, 0.003718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003498, 0.003498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003690, 0.003690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003645, 0.003645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003687, 0.003687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001955, 0.001955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002058, 0.002058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003633, 0.003633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003621, 0.003621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003603, 0.003603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003618, 0.003618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003574, 0.003574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001951, 0.001951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001762, 0.001762) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003536, 0.003536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003450, 0.003450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003467, 0.003467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003470, 0.003470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003468, 0.003468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001950, 0.001950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000805, 0.000805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000772, 0.000772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005212, 0.005212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002630, 0.002630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001942, 0.001942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002681, 0.002681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.030247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59892, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'Q', The 'values' attribute has a '-0.020055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001930, 0.001930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001927, 0.001927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001898, 0.001898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002585, 0.002585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001992, 0.001992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.023131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61233, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'Q', The 'values' attribute has a '-0.012968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001935, 0.001935) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001895, 0.001895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001901, 0.001901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001912, 0.001912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001753, 0.001753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001946, 0.001946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.040746' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.039429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65709, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.035005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009720' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.009707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.044344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001954, 0.001954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001799, 0.001799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003350, 0.003350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.003234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.039308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003752, 0.003752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.023412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66285, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.022940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.011934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.009403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.003840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.062139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.057051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.045790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007338, 0.007338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006161, 0.006161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.040356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004725, 0.004725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011398, 0.011398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001932, 0.001932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001917, 0.001917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003639, 0.003639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003342, 0.003342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001971, 0.001971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002743, 0.002743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.002468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.059408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67556, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.013799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.053618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.052727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67632, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.051578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.001386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.018616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.054783' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002807, 0.002807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.038831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.026329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.155586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.136664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.099620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67844, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.031040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.030227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67920, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.028978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.025055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.080319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004556, 0.004556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004043, 0.004043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.002816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.050289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.040473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.018674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.175237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.160735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.128893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.065801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.010044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008695, 0.008695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007159, 0.007159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.059344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.052060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.033831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.193557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.183144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.156598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68496, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.011162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002349' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.002232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.040017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.039813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017534, 0.017534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015756, 0.015756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011060, 0.011060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009017, 0.009017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.004582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.001242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.052535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.038028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.002884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.194906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.187566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.166728' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.113691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.032352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.031244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.029754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002692, 0.002692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.023268' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.149716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.130909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.092670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68996, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.020486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69023, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.005952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.051302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.036594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.007677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.218503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.193623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.060041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004117, 0.004117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003728, 0.003728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003798, 0.003798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.003095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.048448' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.037388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.012625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.167609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.151090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.115623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.045270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.067755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.055854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.030681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.246956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.226581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.183693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.104109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008910, 0.008910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008027, 0.008027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006103, 0.006103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006296, 0.006296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.006153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.001130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.057062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.030539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.188716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.177760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.150319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.089530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.007756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.003338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.075317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.067951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.009199' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.266928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.254185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.221826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.153225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.029191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017371, 0.017371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015709, 0.015709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009663, 0.009663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.002833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.049373' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.034657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.190043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.182410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.160866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.106078' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.003274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.000399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.072856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.068129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.054738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.021129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.270202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.261584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.238780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.181893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.065360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007168, 0.007168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006808, 0.006808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006284, 0.006284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006249, 0.006249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004760, 0.004760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008112, 0.008112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007598, 0.007598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007141, 0.007141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006947, 0.006947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005307, 0.005307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005038, 0.005038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008102, 0.008102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007591, 0.007591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007158, 0.007158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006961, 0.006961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005313, 0.005313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005059, 0.005059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004974, 0.004974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006964, 0.006964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006532, 0.006532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006608, 0.006608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006301, 0.006301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005028, 0.005028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008857, 0.008857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004990, 0.004990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003086, 0.003086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002946, 0.002946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003334, 0.003334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75173, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.003311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.014357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75222, Cell 'sky130_fd_sc_hd__ha_4', pin 'COUT', The 'values' attribute has a '-0.009231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.020986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.016003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75428, Cell 'sky130_fd_sc_hd__ha_4', pin 'SUM', The 'values' attribute has a '-0.001689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009704, 0.009704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007942, 0.007942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.032848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.019359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.121410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.102895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75633, Cell 'sky130_fd_sc_hd__inv_1', pin 'Y', The 'values' attribute has a '-0.059552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.018230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.016087' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.008623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.074726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.071824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.060944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.028707' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.215486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.210871' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.195913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.149886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027383, 0.027383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024925, 0.024925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.015725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.013947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.007430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.071919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.069389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.060052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.030868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.211755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.208350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.195163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.153750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.045148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.035280, 0.035280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.032042, 0.032042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.012480' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005641' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.056918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.023161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.167861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.154279' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.120196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.016414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.066831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.060334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.042226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.192041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.182929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.158011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.095790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009449, 0.009449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008624, 0.008624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.018897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.015471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.005186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.073436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.068341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.053245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.014473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.208032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.201152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.180189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.124538' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013951, 0.013951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012703, 0.012703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.018615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.015910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.006945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.073332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.069147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.056076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.020129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.208631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.203039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.184291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.133028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.011042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018565, 0.018565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016914, 0.016914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.058807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.048644' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76534, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'X', The 'values' attribute has a '-0.027445' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007047, 0.007047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002093, 0.002093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002025, 0.002025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003727, 0.003727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77201, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'Y', The 'values' attribute has a '-0.014424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002838, 0.002838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.014566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.011327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.092319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.088059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.071163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77330, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'Y', The 'values' attribute has a '-0.013609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040461, 0.040461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035826, 0.035826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.004097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.061305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.045478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77459, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'Y', The 'values' attribute has a '-0.002599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005474, 0.005474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.018621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.011125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.093617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.083242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77588, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'Y', The 'values' attribute has a '-0.051415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010854, 0.010854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009578, 0.009578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.021526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.016604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.100951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.094309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.070823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77717, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'Y', The 'values' attribute has a '-0.001994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021525, 0.021525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019035, 0.019035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.022272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78440, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.016311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78679, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.006667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.010108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78728, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001716, 0.001716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79307, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'X', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.005306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.003811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.065621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.056176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.035643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.224695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.221356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.210486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.179333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.103097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009284, 0.009284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008373, 0.008373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034864, 0.034864) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029979, 0.029979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79785, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'X', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80024, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'X', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.013664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.011412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.004809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.074110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.070734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.060853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.035396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.229916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.224896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.209924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.171318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.084426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004874, 0.004874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004433, 0.004433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015665, 0.015665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009217, 0.009217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008337, 0.008337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006178, 0.006178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006325, 0.006325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006346, 0.006346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006196, 0.006196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006180, 0.006180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002966, 0.002966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002792, 0.002792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002855, 0.002855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003043, 0.003043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003436, 0.003436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003193, 0.003193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003284, 0.003284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002910, 0.002910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004003, 0.004003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003562, 0.003562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003892, 0.003892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001896, 0.001896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003686, 0.003686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003440, 0.003440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001839, 0.001839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003453, 0.003453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005400, 0.005400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004931, 0.004931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005055, 0.005055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005437, 0.005437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007529, 0.007529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.019696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.007738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.112246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.096573' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83914, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.022056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.009790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.119834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.104176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 83963, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.068853' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.075238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.062214' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84012, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'Y', The 'values' attribute has a '-0.032361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002103, 0.002103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004870, 0.004870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004500, 0.004500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.012564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.005515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.115413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.105491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.081993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84309, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.030131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.020859' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.013787' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.133131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.123397' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.100385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84358, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.048305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.002342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.112017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.103923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.084463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84407, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'Y', The 'values' attribute has a '-0.040322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006747, 0.006747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006143, 0.006143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.027702' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.023054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.010608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.144964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.138439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84704, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.078835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.018655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.013742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.001281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.127917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.121277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.103906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84753, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.059809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.004190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.000458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.114129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.108734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.094241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84802, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'Y', The 'values' attribute has a '-0.058001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007594, 0.007594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007797, 0.007797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011603, 0.011603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010679, 0.010679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002880, 0.002880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001766, 0.001766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006063, 0.006063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005827, 0.005827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001937, 0.001937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.006077, 0.006077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005829, 0.005829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003399, 0.003399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.029969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.015276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.125102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.103862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87908, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.059040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.022592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.008283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.114686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.095395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87957, Cell 'sky130_fd_sc_hd__nand2_1', pin 'Y', The 'values' attribute has a '-0.049751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.040201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.030369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.004829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.148075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.096732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.015924' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.032696' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.022825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.139321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.125289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.089221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88193, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.009358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004320, 0.004320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004223, 0.004223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.002109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.046885' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.040054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.020631' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.127105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.057110' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.043540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.037111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.018949' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.163105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.154454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.128085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.061545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008817, 0.008817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008301, 0.008301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008405, 0.008405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.041902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.037390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.022378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.158795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.152604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.132475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.070056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.044088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.040081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.027184' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.169646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.164156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.145878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.092088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017411, 0.017411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016506, 0.016506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018117, 0.018117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016332, 0.016332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.025307' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.011286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.125932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.106393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88901, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'Y', The 'values' attribute has a '-0.061152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.032151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.022059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.141063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.126628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89137, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'Y', The 'values' attribute has a '-0.011530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.043353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.037104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.018523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.166429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.157447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.130762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.064278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009324, 0.009324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.007084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.092521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.073569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89601, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028697' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.006776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.102958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.083271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89650, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.038143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.088525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.070584' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand3_1', pin 'Y', The 'values' attribute has a '-0.028686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.014195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.003603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.103541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89947, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.051111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.005321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.093656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.079017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89996, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.041133' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.008021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.088106' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90045, Cell 'sky130_fd_sc_hd__nand3_2', pin 'Y', The 'values' attribute has a '-0.055291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004265, 0.004265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004342, 0.004342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004738, 0.004738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004282, 0.004282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.000586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.091876' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90293, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.054147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.014491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.007999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.116619' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.107733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.081147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90342, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.017996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.012485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.131633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.123021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.098825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90391, Cell 'sky130_fd_sc_hd__nand3_4', pin 'Y', The 'values' attribute has a '-0.037336' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008820, 0.008820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008507, 0.008507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008336, 0.008336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.010989' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.111718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.091801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90688, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.007552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.107294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.089051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90737, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'Y', The 'values' attribute has a '-0.046892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001413, 0.001413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.006748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.099257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.084834' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91034, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.048060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.012469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.003902' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.120213' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.107216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.074649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91083, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'Y', The 'values' attribute has a '-0.000443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004218, 0.004218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.010388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.004187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.111146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.101879' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.074432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91380, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.002226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.014126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.008620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.120622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.112936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.089352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91429, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'Y', The 'values' attribute has a '-0.026621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008766, 0.008766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008171, 0.008171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009363, 0.009363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008396, 0.008396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.000602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.084654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.064832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91734, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.019408' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.094010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.073867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91783, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.027194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.098676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.079169' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91832, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.034910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.081712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.063677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand4_1', pin 'Y', The 'values' attribute has a '-0.023432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.083068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.069514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92206, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.030436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.061657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92255, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.022314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.094174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.080522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92304, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.088831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.076844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92353, Cell 'sky130_fd_sc_hd__nand4_2', pin 'Y', The 'values' attribute has a '-0.045543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004348, 0.004348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004287, 0.004287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.075382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92678, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.039552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.079838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.070239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92727, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.043479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.091311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.066185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92776, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.000565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.107454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.099571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.078321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92825, Cell 'sky130_fd_sc_hd__nand4_4', pin 'Y', The 'values' attribute has a '-0.020381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008547, 0.008547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008338, 0.008338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008646, 0.008646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008265, 0.008265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008882, 0.008882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008207, 0.008207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009224, 0.009224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008287, 0.008287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.074556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93199, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.027704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.100599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.080322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93248, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.035513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.094813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.076913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93297, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'Y', The 'values' attribute has a '-0.036410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.073088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.058429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93671, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.019237' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.094601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93720, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.045626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.092218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.080059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93769, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'Y', The 'values' attribute has a '-0.048042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004553, 0.004553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004343, 0.004343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004257, 0.004257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.072614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94143, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.044701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.100527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.091837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94192, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.066946' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.105465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.098165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.076048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94241, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'Y', The 'values' attribute has a '-0.017678' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009005, 0.009005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008303, 0.008303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009205, 0.009205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008289, 0.008289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.101155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.081394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94664, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.036760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.097544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.077922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94713, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'Y', The 'values' attribute has a '-0.037723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001579, 0.001579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.092495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.079116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95136, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.087317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.074152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95185, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'Y', The 'values' attribute has a '-0.043473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001632, 0.001632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.100500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.091950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.066826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95608, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.000576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.106215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.098380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.076168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95657, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'Y', The 'values' attribute has a '-0.016903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008941, 0.008941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009220, 0.009220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008296, 0.008296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.011599' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.120723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.078105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95884, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.020281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.002202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.045540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.033840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.010031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.161458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.144440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.109528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95933, Cell 'sky130_fd_sc_hd__nor2_1', pin 'Y', The 'values' attribute has a '-0.043615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.035386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.029412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.015338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.153565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.145163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.124857' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96120, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.078449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.010893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.063712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.055587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037374' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.000126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.200280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.188417' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.161158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.105275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.003506' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004622, 0.004622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004193, 0.004193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.041461' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.037618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.027161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.168809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.163316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.148894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.110551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96356, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.025556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.014143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.010698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.001396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.071595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.066290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.052721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.021162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.219147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.211295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.190686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.143451' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048479' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009190, 0.009190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008322, 0.008322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009347, 0.009347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008093, 0.008093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.039768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.037625' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.008905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.167076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.156245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.125695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96592, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.050162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.013745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.011511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.004828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.073963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.070572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.060554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.034628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.229135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.223999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.209420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.170170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.082380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017944, 0.017944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016156, 0.016156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018153, 0.018153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015700, 0.015700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.027769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.018549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.137238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.124701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.095166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96828, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'Y', The 'values' attribute has a '-0.038332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.036176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.030210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.016320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.154437' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.146007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.124605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97064, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'Y', The 'values' attribute has a '-0.078583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.041883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.038084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.027575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.001655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.169740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.164193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.148501' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.110855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97300, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'Y', The 'values' attribute has a '-0.025256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009134, 0.009134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008272, 0.008272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.002674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.099290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.088629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97577, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.022669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.024504' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.016456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.143260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.131303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.107153' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97626, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.059136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.039701' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.030075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.010533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.163305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.148549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.119006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor3_1', pin 'Y', The 'values' attribute has a '-0.066076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002561, 0.002561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.011352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.126464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.119185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.104886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97923, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.069196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.028907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.024234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.012242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.150718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.142926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.124801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.086197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 97972, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.010829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.001602' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.049489' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.042587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.027464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.178916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.168601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.145778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.099867' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.016738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.024559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.013463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.145667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.141230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.131389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.103222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98269, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.039347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.038922' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.035482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.026596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.175117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.170035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.157502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.125412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98318, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.058054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.006854' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.003912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.065161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.061114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.049469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.023890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.221006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.214205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.197174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.158191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.079598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008239, 0.008239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009694, 0.009694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008410, 0.008410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009037, 0.009037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007758, 0.007758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.014127' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.006654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.123023' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.112604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.091328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98615, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.048868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.028000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.019968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.003613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.151497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.139586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.116048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98664, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'Y', The 'values' attribute has a '-0.068500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002494, 0.002494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.016050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.000105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.126699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.119613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.103302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98961, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.069309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.028652' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.023488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.011429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.149986' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.142200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.124045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.084754' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99010, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004180, 0.004180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004188, 0.004188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.025052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.022031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.014225' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.147816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.143465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.132190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.106391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99307, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.045000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.039135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.035753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.027149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.006550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.178335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.173292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.159895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.129322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99356, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'Y', The 'values' attribute has a '-0.064188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009223, 0.009223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007817, 0.007817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.091615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.063339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99710, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.012737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.119562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.100440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99759, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.062238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.027403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.020140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.005449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.156960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.144742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.123554' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.082507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99808, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.011091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.040469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.031766' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.014596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.170889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.157516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.131550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.085832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor4_1', pin 'Y', The 'values' attribute has a '-0.008401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.006774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.002513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.112870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.106936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.093620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.065321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100182, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.011521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.007244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.126897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.120262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.105770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.076044' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100231, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.016799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.025478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.020826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.010643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.152073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.145031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.096893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100280, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034917' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.000780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.052105' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.046360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.034194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.195581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.186705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.167558' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.129411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.061234' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004141, 0.004141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004667, 0.004667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004697, 0.004697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003922, 0.003922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.011682' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008995' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.002260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.126591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.122880' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.113179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.090404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100654, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.039119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.018603' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.015775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.008634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.146624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.142469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.108120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100703, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.054102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.033818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.030755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.023281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.005891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.174919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.170322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.158825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.132301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100752, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.075985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.003776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.001166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.060142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.056433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.047499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.215121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.209450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.195530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.164052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.101680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009140, 0.009140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008185, 0.008185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007985, 0.007985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009052, 0.009052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007667, 0.007667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009348, 0.009348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007769, 0.007769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.000357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.112994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.103893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101126, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.051512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.013615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.006992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.133647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.123861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.105143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.068254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101175, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.002047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.026694' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.019597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.005368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.157734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.146794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.125231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.085340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101224, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'Y', The 'values' attribute has a '-0.016510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.005379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.001145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.110103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.104224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.091010' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.062952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101598, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006826' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.011033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.006204' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.122732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.117485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.102934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.073309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101647, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.024376' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.019486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.008960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.149099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.141956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.125958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.092943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101696, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'Y', The 'values' attribute has a '-0.030412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004242, 0.004242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004666, 0.004666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.010818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.002485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.125620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.121776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.112129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.090710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102070, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.038852' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.018126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.015186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.008945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.145261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.141165' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.130908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.107483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102119, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.052858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.033380' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.029688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.021915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.005129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.172919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.168324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.157464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.129923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102168, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'Y', The 'values' attribute has a '-0.071762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009073, 0.009073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009092, 0.009092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009047, 0.009047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007698, 0.007698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.000892' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.098362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.089309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.070835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102542, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.035156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.013983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.007058' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.130886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.120796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.101706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102591, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'Y', The 'values' attribute has a '-0.063310' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001425, 0.001425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.007941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.003808' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.114216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.108396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.095429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.068308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103014, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.014342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.012674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.008270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.127329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.120965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.107132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.078744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103063, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'Y', The 'values' attribute has a '-0.021595' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004152, 0.004152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001494, 0.001494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001392, 0.001392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.011654' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.010202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.003112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.126292' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.122500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.112999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.092159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103486, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.040771' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.018231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.016485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.009285' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.144833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.140763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.130580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.107419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103535, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'Y', The 'values' attribute has a '-0.053205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009132, 0.009132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008194, 0.008194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009157, 0.009157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007974, 0.007974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104074, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.008805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.013750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104123, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.002705' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104172, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.005189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104221, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'X', The 'values' attribute has a '-0.004588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002580, 0.002580) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005084, 0.005084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004239, 0.004239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004956, 0.004956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004252, 0.004252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.043182' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105937, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.029566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.109816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.093241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 105986, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.058988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.004991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.113536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.097502' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106035, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.062945' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.002357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.101022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.083890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106084, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.047507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.001278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.086500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.069993' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106133, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'Y', The 'values' attribute has a '-0.034947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.055675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.046777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106567, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.024931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.110849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.100075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073806' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106616, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.016722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.000291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.098381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.087398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.062226' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106665, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.005743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.083491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.071092' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106714, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.041828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.002396' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.073202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106763, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'Y', The 'values' attribute has a '-0.044138' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004021, 0.004021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004699, 0.004699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004225, 0.004225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004408, 0.004408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004262, 0.004262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.060938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.055723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.041219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107197, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.115939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.109518' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.091168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107246, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.046088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.000145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.111177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.104244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107295, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.039551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.085497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.077738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.056747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107344, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.004659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.079342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.071004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107393, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'Y', The 'values' attribute has a '-0.051566' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008221, 0.008221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009061, 0.009061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007794, 0.007794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008959, 0.008959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008674, 0.008674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008160, 0.008160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008294, 0.008294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.033325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107765, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.000121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.032681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.022790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107814, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.018468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107863, Cell 'sky130_fd_sc_hd__o211a_1', pin 'X', The 'values' attribute has a '-0.008664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.005200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108709, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.000116' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.014801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108807, Cell 'sky130_fd_sc_hd__o211a_4', pin 'X', The 'values' attribute has a '-0.009923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005119, 0.005119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004800, 0.004800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004165, 0.004165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005274, 0.005274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004806, 0.004806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004717, 0.004717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004318, 0.004318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.055245' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.042170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109154, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.012804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.118686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.102561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.068611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109203, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.003253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.013159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.002295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.121075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.105435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.071785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109252, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.005172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.019317' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.008530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.126636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.111381' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.077657' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109301, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'Y', The 'values' attribute has a '-0.011231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.085513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.076656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.054658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109626, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.004769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.015422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.007721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.134402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.122198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.094841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109675, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.036177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013809' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.005455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.109120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.097354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.069645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109724, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.009587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.022209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.013616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.120054' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.107537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109773, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'Y', The 'values' attribute has a '-0.017379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004704, 0.004704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004581, 0.004581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004513, 0.004513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.107491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.102145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.087330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110098, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.049231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.024666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.020052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.007372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.163238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.156386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.136398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110147, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.089433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.031048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.025943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.012475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.151762' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.144586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.124537' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110196, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.078691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.039329' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.033916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.019646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.162423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.154135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.133614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110245, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'Y', The 'values' attribute has a '-0.084570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009531, 0.009531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007877, 0.007877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009557, 0.009557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008788, 0.008788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008211, 0.008211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110491, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.002865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.057818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.047449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110540, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.025239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.063254' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.053485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110589, Cell 'sky130_fd_sc_hd__o21a_1', pin 'X', The 'values' attribute has a '-0.033260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.024086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110886, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.030303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.022864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110935, Cell 'sky130_fd_sc_hd__o21a_2', pin 'X', The 'values' attribute has a '-0.004758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.028813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111232, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.014784' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.037896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.033156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111281, Cell 'sky130_fd_sc_hd__o21a_4', pin 'X', The 'values' attribute has a '-0.019612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005092, 0.005092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004763, 0.004763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004158, 0.004158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004833, 0.004833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.024571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111551, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.004976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.087676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.063172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111600, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.016963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.006247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.081295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.058788' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111649, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'Y', The 'values' attribute has a '-0.018330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.085090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.070424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111897, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.037953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.030820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.148583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.129756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.091305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111946, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.018921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.041137' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.002963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.153207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134713' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.097796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 111995, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'Y', The 'values' attribute has a '-0.028534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002629, 0.002629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002019, 0.002019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.012095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.121643' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.111944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.088731' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112243, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.036795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.046406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.037442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.017962' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.181832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.169079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.139272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.078443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.005757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.051471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.043080' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.023887' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.170350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.158570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.130649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112341, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.074724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004721, 0.004721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004128, 0.004128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.012568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.133889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.128089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.112311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112589, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.072811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.049926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.044570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.030779' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.194831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.187348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.165991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.116835' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.016026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.005117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.001352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.052849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.047434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.033473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.000858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.177478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.169866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.149281' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.103471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112687, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.004632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009625, 0.009625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008681, 0.008681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009070, 0.009070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007875, 0.007875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009155, 0.009155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008477, 0.008477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.048003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.037714' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112962, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'X', The 'values' attribute has a '-0.015604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001471, 0.001471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.016982' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113308, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'X', The 'values' attribute has a '-0.009216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.014176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113654, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'X', The 'values' attribute has a '-0.009002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004727, 0.004727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.086759' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.072037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 113973, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.039749' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.030007' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.017271' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.151166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.131717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.093816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114022, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'Y', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001872, 0.001872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.001181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.103716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.093983' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.070490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114319, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.017568' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.038390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.029516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.009536' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.167790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.154206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.124046' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114368, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'Y', The 'values' attribute has a '-0.062055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004724, 0.004724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.008045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.003978' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.120332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.114011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.097090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114665, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.054699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.044510' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.038630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.023608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.182304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.173827' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.151490' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.099288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009322, 0.009322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007902, 0.007902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115331, Cell 'sky130_fd_sc_hd__o221a_1', pin 'X', The 'values' attribute has a '-0.003101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002569, 0.002569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005180, 0.005180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004631, 0.004631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005001, 0.005001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004579, 0.004579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.049453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.035916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117047, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.007108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.110716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.094648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117096, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.061368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.059557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.044676' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117145, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.012217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.010996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.125541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.107291' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.072487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117194, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.003016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.077290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.060283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117243, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'Y', The 'values' attribute has a '-0.026925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.090025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.081112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.058869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117677, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.010004' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.005906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.142435' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.131264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.104664' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117726, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.047242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.101916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.092158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.068192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117775, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022322' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.013831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.147149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.134029' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.103825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117824, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.039937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.022495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.014082' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.119221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.106923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.079524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117873, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'Y', The 'values' attribute has a '-0.021861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005008, 0.005008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004551, 0.004551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005160, 0.005160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004197, 0.004197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.093419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.087903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.072843' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118307, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.034572' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.012390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.007686' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.147649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.139608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118356, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.074786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.085442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067897' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118405, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.023497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.021508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.015959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.151217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.142906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118454, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.067626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.020586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.014950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.000146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.120951' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.113196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.091338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118503, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'Y', The 'values' attribute has a '-0.040561' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009575, 0.009575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007880, 0.007880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009339, 0.009339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007694, 0.007694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008376, 0.008376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.049195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.038194' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118875, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.015219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.028462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118924, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.018991' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.083269' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.073197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.052211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 118973, Cell 'sky130_fd_sc_hd__o22a_1', pin 'X', The 'values' attribute has a '-0.012753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002572, 0.002572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.018304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119347, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.010386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.050267' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.042546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119445, Cell 'sky130_fd_sc_hd__o22a_2', pin 'X', The 'values' attribute has a '-0.024062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.023695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.018695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119819, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.004422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119868, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.011940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.035632' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.030821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119917, Cell 'sky130_fd_sc_hd__o22a_4', pin 'X', The 'values' attribute has a '-0.016864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004672, 0.004672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005144, 0.005144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.083011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.069817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120264, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.040848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.024416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.145453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.094729' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120313, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.029617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.006088' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.102648' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120362, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.058404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.046556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.034944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.176144' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.159390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.124232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120411, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'Y', The 'values' attribute has a '-0.057959' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002556, 0.002556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.095789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.087049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.065587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120736, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.015997' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.030419' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.022750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.004963' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.156627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.145768' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.119293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120785, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.061629' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.012383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.005943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.116941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.107472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.085605' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120834, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.034529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.003604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.054327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.046259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.028085' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.189017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.177305' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.149849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120883, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'Y', The 'values' attribute has a '-0.091730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.003929' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.122695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.117481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.102918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121208, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.067059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.033047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.021090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.176593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.169798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.151717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.107319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121257, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.013503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.022125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.018039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.007017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.140816' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.135089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.119308' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121306, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.079006' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.048925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.043311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.028733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.186750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.178394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.156807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.106462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121355, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'Y', The 'values' attribute has a '-0.001191' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009583, 0.009583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009080, 0.009080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007912, 0.007912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009399, 0.009399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008853, 0.008853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002071, 0.002071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.010343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.007988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122624, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.001732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.034416' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.032115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122673, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.030614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.025677' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122749, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'X', The 'values' attribute has a '-0.011611' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005118, 0.005118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004685, 0.004685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004247, 0.004247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004087, 0.004087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.024377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123074, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.015862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.048535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.040174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123123, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.023875' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.090937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.075939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123194, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.043582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.032940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.019773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.156812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.137524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.098578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123243, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'Y', The 'values' attribute has a '-0.026295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.039534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123546, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.025884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.079042' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.073129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.059992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123595, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.033691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.012052' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.005442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.123302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.113491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.090247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123666, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.038320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.045553' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.036592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.017142' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.181927' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.169093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.139284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.078624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005177, 0.005177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005056, 0.005056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.048301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.044405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124018, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.011062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.038040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.034266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.024403' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124067, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.003346' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.119624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.113143' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.096366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124138, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.053813' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.042394' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.036472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.021369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.178737' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.170167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.147556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.094837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009242, 0.009242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008367, 0.008367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009221, 0.009221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009111, 0.009111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008236, 0.008236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007888, 0.007888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124628, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.005935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.035331' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.024574' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124677, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.001320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.032101' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.021874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124726, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.000337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.013642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124775, Cell 'sky130_fd_sc_hd__o311a_1', pin 'X', The 'values' attribute has a '-0.003410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002525, 0.002525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125307, Cell 'sky130_fd_sc_hd__o311a_2', pin 'X', The 'values' attribute has a '-0.001587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002521, 0.002521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004355, 0.004355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004728, 0.004728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126540, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.011969' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.055002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.036586' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126589, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.001905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.049738' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126638, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.032031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.038178' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126687, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'Y', The 'values' attribute has a '-0.020877' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001860, 0.001860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.045938' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.033521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127121, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.011350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.074161' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127170, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.048523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.130805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.115980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.086449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127219, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.031159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.015846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.006093' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.123301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.109235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.080760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127268, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.027190' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.014642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.005150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.111151' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.096943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.069747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127317, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'Y', The 'values' attribute has a '-0.016205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002632, 0.002632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.044086' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.036688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127751, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.019345' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.085822' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.077825' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.058861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127800, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.009173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.002621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.138999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.129049' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.107135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127849, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.061157' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.018904' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.012149' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.127399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.118177' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.097162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127898, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.052543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.005198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.093320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.083270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.059776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127947, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'Y', The 'values' attribute has a '-0.011985' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004661, 0.004661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004233, 0.004233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004791, 0.004791) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004037, 0.004037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004792, 0.004792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.074095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.069639' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.057988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128381, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.029337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.104232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.099255' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.085725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128430, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.052770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.009828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.005428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.142062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.135232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128479, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.078366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.019757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.015039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.002891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.131432' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.124934' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.107769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128528, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.067742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.021655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.016725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.004335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.125150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.118236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.100700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128577, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'Y', The 'values' attribute has a '-0.059096' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009096, 0.009096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009055, 0.009055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007831, 0.007831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009281, 0.009281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007843, 0.007843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008246, 0.008246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.011464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128900, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.002045' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.030888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.021646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128949, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.000874' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.059395' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.049164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 128998, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.027318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.053313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.043576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129047, Cell 'sky130_fd_sc_hd__o31a_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129421, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.001005' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.024517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129470, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.017170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.020402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129519, Cell 'sky130_fd_sc_hd__o31a_2', pin 'X', The 'values' attribute has a '-0.013246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.025326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.020428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129942, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.006366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.033588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.028939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 129991, Cell 'sky130_fd_sc_hd__o31a_4', pin 'X', The 'values' attribute has a '-0.015515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004592, 0.004592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003908, 0.003908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004933, 0.004933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.067458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.055893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130338, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.032324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.112294' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.099427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.072604' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130387, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.021125' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.026131' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.015649' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.157378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.141302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.109465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130436, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.051436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.036936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.027410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.008507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.146359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.133185' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.106272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130485, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'Y', The 'values' attribute has a '-0.056326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002668, 0.002668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002680, 0.002680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.080716' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.072987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.055671' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130810, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.017464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.008751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003571' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.129717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.121478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.102796' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130859, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.063027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.034159' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.027053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.011211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.174256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.162999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.139273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.090209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130908, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.001658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.003174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.048136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.041508' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.026952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.167509' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.158074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.136755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.095556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130957, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'Y', The 'values' attribute has a '-0.019187' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004249, 0.004249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004663, 0.004663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004684, 0.004684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003939, 0.003939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004705, 0.004705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004310, 0.004310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.096894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.092160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.079718' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131282, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.048777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007236' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.131908' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.126355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.078136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131331, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.004507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041431' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.036674' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.024841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.189616' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.182326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.164311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.123455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.041324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.003545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000273' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.050103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.045399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.033914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.007912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.172340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.165723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.149198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.112018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131429, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.035059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009089, 0.009089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008149, 0.008149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009029, 0.009029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009444, 0.009444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007941, 0.007941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008439, 0.008439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131870, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.011617' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.043745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131919, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.021662' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131968, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.012455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.085659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.075805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.054861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132017, Cell 'sky130_fd_sc_hd__o32a_1', pin 'X', The 'values' attribute has a '-0.015505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132500, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.003146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.028139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.020577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132549, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.001633' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132598, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.006231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.059688' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.052400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132647, Cell 'sky130_fd_sc_hd__o32a_2', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133277, Cell 'sky130_fd_sc_hd__o32a_4', pin 'X', The 'values' attribute has a '-0.006335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003944, 0.003944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004530, 0.004530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.077241' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.066354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133733, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.042909' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.105440' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.080347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133782, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.032615' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.028053' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.018745' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.161453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.147493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.117578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133831, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.064027' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.010517' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.002377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.111051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.097691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.073369' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133880, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.025833' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.000793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.050099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.040311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.021079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.183343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.169119' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.140486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.088210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133929, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'Y', The 'values' attribute has a '-0.001170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.068457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.061436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.044700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134363, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.111836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.103540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.085162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134412, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.043894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.018735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003711' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.158841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.148855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.125756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134461, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.077532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.025503' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.020097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.007873' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.143692' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.135793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.118570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.079469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134510, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.001168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.047043' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.039743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.023585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.175722' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.165474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.141246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.093084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134559, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'Y', The 'values' attribute has a '-0.003581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004277, 0.004277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004596, 0.004596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004714, 0.004714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004698, 0.004698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.080695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.076298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.064372' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 134993, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.035409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.114585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.109425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.095976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135042, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.062765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.026556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.022188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.011051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.165003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.158136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.141486' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.101107' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135091, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.020947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.007789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.140789' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.135499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.121173' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.088477' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135140, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.014481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.043630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.038541' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.025864' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.175109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.167474' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.149289' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.106018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135189, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'Y', The 'values' attribute has a '-0.017357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009048, 0.009048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007677, 0.007677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007808, 0.007808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008953, 0.008953) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008142, 0.008142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008981, 0.008981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007980, 0.007980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135650, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.028064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135699, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.018339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.055916' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.045511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135748, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.023303' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.065704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.056458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135797, Cell 'sky130_fd_sc_hd__o41a_1', pin 'X', The 'values' attribute has a '-0.037084' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002546, 0.002546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136329, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.004114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.029935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136378, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.002581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.046164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.038700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136427, Cell 'sky130_fd_sc_hd__o41a_2', pin 'X', The 'values' attribute has a '-0.020520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002258, 0.002258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002555, 0.002555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.020034' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137008, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.000730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.033040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.028464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137057, Cell 'sky130_fd_sc_hd__o41a_4', pin 'X', The 'values' attribute has a '-0.015100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004694, 0.004694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004783, 0.004783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003854, 0.003854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003831, 0.003831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004816, 0.004816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004398, 0.004398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.057201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.045801' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137513, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.024582' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.095012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.083164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.061390' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137562, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.020283' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.003388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125355' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.087576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137611, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.043710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.031032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.021097' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.001412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.170409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.154915' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.125098' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137660, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.073338' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.000947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.042036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.032831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.015333' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.151645' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.138103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.113890' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137709, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'Y', The 'values' attribute has a '-0.070158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.056592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.033425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138143, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000074' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.072802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.066627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.049693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138192, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.014610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.111689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.103455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.085048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138241, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.047698' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.028257' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.021493' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.006756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.163152' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.152147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.129094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.084667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138290, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.005216' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.000311' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.037907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.031324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.017656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.137195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.127475' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.108162' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.070851' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138339, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'Y', The 'values' attribute has a '-0.002219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004566, 0.004566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004718, 0.004718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003954, 0.003954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.071359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.066563' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.055176' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138773, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.029900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.080966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.076219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.064414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138822, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.036205' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.110320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.105470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.092073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138871, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.060240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.027944' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.012427' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.168755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.162315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.144684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.106895' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138920, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.032013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.039531' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.034988' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.024210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.000747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.145288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.139661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.124264' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.091449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138969, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'Y', The 'values' attribute has a '-0.023343' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009076, 0.009076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008214, 0.008214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008962, 0.008962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007717, 0.007717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007684, 0.007684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009215, 0.009215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008626, 0.008626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.022232' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139194, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.011227' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.030026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139243, Cell 'sky130_fd_sc_hd__or2_0', pin 'X', The 'values' attribute has a '-0.018610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.010109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139430, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.000913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.016235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139479, Cell 'sky130_fd_sc_hd__or2_1', pin 'X', The 'values' attribute has a '-0.006666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002575, 0.002575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.022274' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140138, Cell 'sky130_fd_sc_hd__or2b_1', pin 'X', The 'values' attribute has a '-0.012861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001891, 0.001891) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001724, 0.001724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.013172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140936, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.003425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.015174' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 140985, Cell 'sky130_fd_sc_hd__or3_1', pin 'X', The 'values' attribute has a '-0.005020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001777, 0.001777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001571, 0.001571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001581, 0.001581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001794, 0.001794) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.011114' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 141974, Cell 'sky130_fd_sc_hd__or3b_1', pin 'X', The 'values' attribute has a '-0.001328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143069, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.000221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014072' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143118, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.004186' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.014122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143167, Cell 'sky130_fd_sc_hd__or4_1', pin 'X', The 'values' attribute has a '-0.003926' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001902, 0.001902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001519, 0.001519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001308, 0.001308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002650, 0.002650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002531, 0.002531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144534, Cell 'sky130_fd_sc_hd__or4b_1', pin 'X', The 'values' attribute has a '-0.003401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002001, 0.002001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002600, 0.002600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.033155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.030350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147137, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'X', The 'values' attribute has a '-0.020884' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007311, 0.007311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006538, 0.006538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.030426' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.027683' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147261, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'X', The 'values' attribute has a '-0.018436' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007331, 0.007331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006545, 0.006545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003709, 0.003709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003502, 0.003502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001959, 0.001959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001796, 0.001796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001555, 0.001555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003259, 0.003259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003052, 0.003052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003224, 0.003224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003019, 0.003019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003704, 0.003704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003478, 0.003478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001944, 0.001944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001813, 0.001813) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003245, 0.003245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003025, 0.003025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003653, 0.003653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003598, 0.003598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003652, 0.003652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004061, 0.004061) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003636, 0.003636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001639, 0.001639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004652, 0.004652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003615, 0.003615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002615, 0.002615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003503, 0.003503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002046, 0.002046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004062, 0.004062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002117, 0.002117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001933, 0.001933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002022, 0.002022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003472, 0.003472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002017, 0.002017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003523, 0.003523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003427, 0.003427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001943, 0.001943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003460, 0.003460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002031, 0.002031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003518, 0.003518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003459, 0.003459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001939, 0.001939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002032, 0.002032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004142, 0.004142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003974, 0.003974) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003524, 0.003524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003456, 0.003456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001960, 0.001960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003679, 0.003679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001961, 0.001961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001752, 0.001752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001978, 0.001978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001962, 0.001962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003971, 0.003971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003706, 0.003706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001760, 0.001760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001966, 0.001966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001952, 0.001952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001787, 0.001787) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001968, 0.001968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003943, 0.003943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003684, 0.003684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003947, 0.003947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001976, 0.001976) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003950, 0.003950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003540, 0.003540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001806, 0.001806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004591, 0.004591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001987, 0.001987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001755, 0.001755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001929, 0.001929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001740, 0.001740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003628, 0.003628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003754, 0.003754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003247, 0.003247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001711, 0.001711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001738, 0.001738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001926, 0.001926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003753, 0.003753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003253, 0.003253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.103270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.088272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165912, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.056499' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.041515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.033224' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 165939, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.016547' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.023001' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.010660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.139424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.120342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.081640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166010, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012039' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.030297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.021942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166037, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'Y', The 'values' attribute has a '-0.005420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.002270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.106016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.096940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.075198' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166226, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.026293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166253, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.014314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.023398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.005122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.156295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.144299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.116019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166324, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.058627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.036947' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.031883' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166351, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'Y', The 'values' attribute has a '-0.020515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008271, 0.008271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008395, 0.008395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.001009' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.110769' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.104841' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.088797' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166540, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.048913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.036773' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.033282' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.024266' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166567, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.003651' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.031804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.026413' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.012882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.165249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.157132' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.136940' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166638, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.087614' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.032316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.028948' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.020229' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166665, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'Y', The 'values' attribute has a '-0.000347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017314, 0.017314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015971, 0.015971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016149, 0.016149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015465, 0.015465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002612, 0.002612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005143, 0.005143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003666, 0.003666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002611, 0.002611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005453, 0.005453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005138, 0.005138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003668, 0.003668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003402, 0.003402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005456, 0.005456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005132, 0.005132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003671, 0.003671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003390, 0.003390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.052090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.043238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168221, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.024592' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.002129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.107103' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.093849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.065260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168292, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.006055' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.053968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.044755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168319, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.025684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.008583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.112330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.099275' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.071202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168390, Cell 'sky130_fd_sc_hd__xor2_1', pin 'X', The 'values' attribute has a '-0.012304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.052166' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.045548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168535, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.030462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.091398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.082090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.059760' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168606, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.009306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.076456' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.069478' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.053217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168633, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.018120' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.003958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.102882' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.093753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.070562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168704, Cell 'sky130_fd_sc_hd__xor2_2', pin 'X', The 'values' attribute has a '-0.020485' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009349, 0.009349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008562, 0.008562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.052956' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.048624' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.037352' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168849, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.009325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.099265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.093552' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.077840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168920, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.038041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.070606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.066117' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.054295' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 168947, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.025804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.001777' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.104423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.098248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.082462' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169018, Cell 'sky130_fd_sc_hd__xor2_4', pin 'X', The 'values' attribute has a '-0.039434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018101, 0.018101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016568, 0.016568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015573, 0.015573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014215, 0.014215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002609, 0.002609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003669, 0.003669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003415, 0.003415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002608, 0.002608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005441, 0.005441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002592, 0.002592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005443, 0.005443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003650, 0.003650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003375, 0.003375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.064973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.062220' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.050512' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.011535' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.488398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.485585' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.472778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.422846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170519, Cell 'sky130_fd_sc_hd__buf_12', pin 'X', The 'values' attribute has a '-0.282066' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009671, 0.009671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.068467' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.066196' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.056359' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.022047' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.501878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.499507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.489590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.447928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.321248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014391, 0.014391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.013047, 0.013047) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.068318' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.064407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.048391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.000123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.485219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.481018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.463197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.397992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170767, Cell 'sky130_fd_sc_hd__buf_8', pin 'X', The 'values' attribute has a '-0.223623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007376, 0.007376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006709, 0.006709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006324, 0.006324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006177, 0.006177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006345, 0.006345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006195, 0.006195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006323, 0.006323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006179, 0.006179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ff_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' ... +Warning: Line 35, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 35, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 828, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.051800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.071230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.004830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.130770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.059770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.050070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.039270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.013670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.192700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.117700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.107400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.095900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.053100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.035300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 894, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.015000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.123770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.048970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.038770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.027370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.014770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.000670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.188900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.109900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.087100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.073700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.058800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 960, Cell 'sky130_fd_sc_hvl__a21o_1', pin 'X', The 'values' attribute has a '-0.002900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1433, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.011900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.006710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.036200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.126530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.175670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.225600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1499, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.083900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.222830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.033530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.005230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.297270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.060270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.025370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.372700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.151800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.118600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.081100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1565, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.038900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.016680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.061900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.202130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.030930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.003830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.278970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.091670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.028570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.357300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.087900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.047000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1631, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2716, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.024600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2782, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.044870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.093200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.012400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2848, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.092230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.009830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.163470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.086070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.075470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.050370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.035470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.018870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.237500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.144600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.132000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.118100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.102400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.085000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2914, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.065230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.134370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.054270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.043270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.031070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.017370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.002070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.206600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.122100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.110500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.083100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.067100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.049100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.029000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2980, Cell 'sky130_fd_sc_hvl__a22o_1', pin 'X', The 'values' attribute has a '-0.006200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3945, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.013400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.033170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4077, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.056500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.104730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.151770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4143, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.127370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4209, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.177700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.020800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.109230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.158270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4275, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.208530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.032130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.004430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.284170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.092370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.027870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.361000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.155700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.123300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4341, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.044900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.171530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.010830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.244470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.068970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.040370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.007570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.319300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.130600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.099900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.064700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4407, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.024700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.046770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.102900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4656, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.010400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.145330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.073030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.063030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.039330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.025330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.009530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.235870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.159070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.148470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.123170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.108370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.072970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.051570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.026670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.329800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.249100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.237800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.225300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.211200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.195600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.178200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.158600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.136500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.111100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.045900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4720, Cell 'sky130_fd_sc_hvl__and2_1', pin 'X', The 'values' attribute has a '-0.003200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.036370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5076, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.011500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.108830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.033030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.010330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.195970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.115470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.104070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.091370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.077170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.061170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.043170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.022870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.286700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.202100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.190200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.161900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.145200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.126400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.105300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.081300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5140, Cell 'sky130_fd_sc_hvl__and3_1', pin 'X', The 'values' attribute has a '-0.021800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5409, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5606, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5780, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.030200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.022700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.015300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5922, Cell 'sky130_fd_sc_hvl__buf_32', pin 'X', The 'values' attribute has a '-0.001400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5989, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6186, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6383, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6392, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6412, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6420, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6875, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.012800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.080930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.118470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7040, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.064500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.222180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.395770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.141140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.106200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.067600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.917230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.546430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.496130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.440630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.379430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.311930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.237930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.156430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.066930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.200170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.777870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.720670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.657770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.588370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.512070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.428270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.336270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.235370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.124770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.003570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.488500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-1.018300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.954900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.885000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.808100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.723500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.630700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.529000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.417600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.295600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.162000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7075, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.015700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7276, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.010900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.076730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.112970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7441, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.005170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.243200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.059000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.037000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7476, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.029620' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.115600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.310050' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.106840' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.047650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.013140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517650' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.231260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.192810' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.150270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.103180' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.126560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.767930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.719030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.664730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.604730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.538430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.465430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.385130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.296530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.199330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.092430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.452470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.047370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.992270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.931170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.863570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.788970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.706870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.616570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.517170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.407970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.287970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.156570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.782500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.334700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.274000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.206500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.132100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-1.049900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.959500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.860100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.750700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.630600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.498700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.354300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.195900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7506, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.022200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7756, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.005660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.026400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.003400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.089230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.068830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.065030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.060630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.055430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.049430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.034330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.025130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.123070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.101270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.097270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.080370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.064170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.054170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.157400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.138100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.130200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.125100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.119300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.112400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.104400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.084400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.072200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.058100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.023600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7911, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.002800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7930, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.008030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.020570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8085, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.033400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8104, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.001300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.040530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.084200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8259, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.011800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8278, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005210' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.081130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.050130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.044530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.038130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.111770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.072770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.057870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.048670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.025770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.011770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.142700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.108100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.094500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.086000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.064900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.051900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.037100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8433, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8452, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.080330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.064330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.191170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.174170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.133870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.109670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.081270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.047470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.007070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.363900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.307300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.289200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.269100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.246900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.222000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.193200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.159200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.118800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.070100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8774, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.011400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.326470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.233370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.190670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.173170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.106770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.043570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.449700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.364000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.338500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.323500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.306800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.288400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.267800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.244900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.155200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.114300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.065300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8840, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.206930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.045930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.025630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.219170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.205070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.172270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.153270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.006270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.349000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.304100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.285900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.265700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.189800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.156300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.116300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.068100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8906, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.009900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.207330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.130130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.119330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.107330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.079130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.062530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.044030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.023130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.325270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.243170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.231670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.204570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.188770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.171170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.129570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.104770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.001770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.447300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.348800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.320100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.303400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.284900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.264200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.241200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.151900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.111500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.063000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8972, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.024200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.238930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.160830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.150130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.138230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.124930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.110330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.075630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.054930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.030730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.002130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.358470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.274870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.263270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.250470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.236270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.220570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.203270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.183870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.162070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.137370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.481000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.392600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.380400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.366800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.351700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.335100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.296200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.273500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.248000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.218700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.184300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.143400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.094100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9038, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.034400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.026430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.113170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.052070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.042570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.031570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.004470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.202300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.141100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.131500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.120600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.108000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.093400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.076500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.056600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.033300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 9134, Cell 'sky130_fd_sc_hvl__mux2_1', pin 'X', The 'values' attribute has a '-0.005900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11717, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11783, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11849, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11915, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11981, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12047, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12113, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.059670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.043370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.005470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.291800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.053900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12245, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12377, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12575, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.117070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.361100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12641, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.034930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.144670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.131470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.116870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.360900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.259700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.245700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.230100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.212900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.193800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.172700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.123600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.025700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 12971, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13037, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13103, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13169, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.089570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.316700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.166400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.147100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.125600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.075600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.046400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13235, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13301, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13367, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13433, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13499, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13565, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.102030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.016070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.237000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.207000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13631, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13697, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.011630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.218370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.108070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.093270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.058570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.015970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.236800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.222600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.206800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.124700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.069300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13763, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.099970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.086870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.214900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13829, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 13961, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.006430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.107270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.094270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.004270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.213500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.199900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.184700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14027, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.082930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.039170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.315500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.168900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.149700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.050000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14093, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.017800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.064470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.009070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.170700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.153500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.134200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.112700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.088800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.062300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.032900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14159, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.083530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.085570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.071370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.055670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.302000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.205200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.176800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.160200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.141700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14291, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.191370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.095170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.081670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.066470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.049570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.311500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.196900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.181000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.163400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.143700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.070900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14489, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.008900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.079730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.194370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.072570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.056370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.038470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.018470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.314400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.215200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.201400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.186000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.169000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.150100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.129000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.051300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14753, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.019300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14885, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14951, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15017, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15083, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15179, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15215, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15311, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.180830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.101530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.090330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.077730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.047930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.030230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.010430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.294670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.210670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.185770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.171070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.154570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.136070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.115370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.092070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.065570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.035170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.412600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.324400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.312000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.282800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.265600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.246400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.225000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.200900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.173600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.142600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.106500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.063900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15347, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15443, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15509, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15545, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.190330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.111230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.100030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.087530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.073630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.057930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.040330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.020630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.307070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.223170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.211470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.198270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.183670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.167370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.148970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.128370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.105170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.078770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.048470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.428000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.339800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.327400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.313600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.298300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.281200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.262100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.240800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.216800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.189700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.158800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.122900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.080400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15611, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15707, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15773, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.023370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.098300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.026000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.014800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15839, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.002100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.022070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.097100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.024400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.013200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15905, Cell 'sky130_fd_sc_hvl__mux4_1', pin 'X', The 'values' attribute has a '-0.000300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16190, Cell 'sky130_fd_sc_hvl__nand2_1', pin 'Y', The 'values' attribute has a '-0.027800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.051530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.087970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16546, Cell 'sky130_fd_sc_hvl__nand3_1', pin 'Y', The 'values' attribute has a '-0.126000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.010070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16965, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.030700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.031710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.073500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.264270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.074670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.046270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.014170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.331700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.128600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.098200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.063700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17029, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.024800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.072830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.162100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17321, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.003900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.016630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.220330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.058930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.032230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.001630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.303270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.129370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.100570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.067470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.029670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.387500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.202700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.172100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.136900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.096700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17385, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.050700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.005770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.071450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.141920' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.347830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.147530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.116930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.082230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.043030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.457470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.239570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.206370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.168570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.125970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.568100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.334800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.299300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.258800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.213100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.161500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.103200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17449, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.037400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.038930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.097870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.021470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.010770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.159600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.079000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.067700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.055100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.041000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.025200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17862, Cell 'sky130_fd_sc_hvl__o21a_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.010020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.050400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.175130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.243570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.048570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.017670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.313400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.104800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18533, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.034100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18569, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.012500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.019730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.075570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.134400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.041500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.028800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19552, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.014600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19618, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.071600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.007930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.063070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.121500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.026700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19684, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.013800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19948, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.043300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.038030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.095470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.016270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.005570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.155800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.072400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.061100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.048500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.034500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20080, Cell 'sky130_fd_sc_hvl__o22a_1', pin 'X', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.010150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.052900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.185030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.014230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.072370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.042470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.008370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.331900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.101800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20979, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.002700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.121230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.188370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.257700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.050900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21045, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.016500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.036500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.163930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.235070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.065470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.004670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.308200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.126600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.096400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.061500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21111, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.021900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.093530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.138570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21375, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.184800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21441, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.045300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.011020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.158730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.219270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.007970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.280800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.054300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21507, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.020100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.048000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.260930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.175530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.164330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.151830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.138130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.122830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.105630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.086130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.036330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.004030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.378470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.298070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.287070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.274970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.261570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.246770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.230470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.212270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.191970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.168670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.141470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.109170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.023070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.498600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.413700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.359200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.341900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.322700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.301500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.277600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.249900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.217500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.178700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.131800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.074800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21756, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.005400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.011850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.095550' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.027300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.007700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.285330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.275330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.264230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.251830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.238130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.222730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.205330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.185430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.162030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.134430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.101330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.061330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.501570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.424970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.414270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.402370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.389170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.374470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.339870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.319270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.295370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.267470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.234370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.194470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.146270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.087670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.016870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.649100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.568500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.557200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.544700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.530700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.515200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.497900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.478700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.457200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.432800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.404500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.371100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.331300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.283200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.224900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.154100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21820, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.068300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.286730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.275230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.248630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.233330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.216330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.197630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.176730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.153030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.125330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.092830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.053830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.434570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.422070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.408370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.393270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.358170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.337970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.315570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.290670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.262370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.229370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.190270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.143370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.086470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.017270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.682100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.572900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.558200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.541900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.504300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.482700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.458900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.432600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.403300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.369600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.330200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.283400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.226900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.158100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22112, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.074600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.014420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112640' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.040000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.030400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.019700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.418630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.340030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.317430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.304330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.273730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.255830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.235530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.212030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.184630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.152030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.112730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.586270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.502070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.490470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.477670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.463570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.447970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.430670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.411570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.390170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.366070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.338070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.305170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.266070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.218770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.161370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.091770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.007270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.757300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.668100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.655800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.642200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.627100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.610500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.592100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.571900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.549400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.524300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.495700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.462400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.423100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.376000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.318900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.249700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.064000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.033710' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.139290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.077500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.068900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.059500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.048800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.036700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.022800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.006500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.466430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.391930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.381630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.370130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.357330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.343130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.327330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.309530' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.289330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.265830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.238230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.205430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.165930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.118030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.060130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.645570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.566170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.555170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.542870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.529170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.514070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.497170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.478370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.457270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.433170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.405170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.372170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.332770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.285170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.227470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.157470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.072670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.828300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.744800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.733100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.720100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.705600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.689600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.671800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.652000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.630000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.605100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.576500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.543100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.503600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.456200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.398800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.329100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.244500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.142500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22240, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.018800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22509, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.012930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23016, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.079500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.009950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.053600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.188430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.262270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.086970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.058670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.026170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.337800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.150300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.119900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.085200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.045700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23148, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.000900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23233, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23241, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.030130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.064970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23575, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.101200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.031330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.089170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.016370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.005670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.149900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.073000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.061800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.049000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.034300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23611, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'X', The 'values' attribute has a '-0.017100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 23726, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23734, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24097, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007892, 0.007892) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24109, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24170, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24192, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008184, 0.008184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24700, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008070, 0.008070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24712, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24773, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24795, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002714, 0.002714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25140, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002599, 0.002599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25162, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004972, 0.004972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004828, 0.004828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25592, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002722, 0.002722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25743, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002330, 0.002330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25765, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26020, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004363, 0.004363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26032, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26166, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26188, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002777, 0.002777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26567, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26589, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26862, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005672, 0.005672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26975, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26997, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002717, 0.002717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27202, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27214, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002666, 0.002666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27275, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002727, 0.002727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27367, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002746, 0.002746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27989, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28081, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008632, 0.008632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28784, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008522, 0.008522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28796, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28857, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002453, 0.002453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28879, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.006088, 0.006088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29391, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005895, 0.005895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29699, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29711, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29772, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002470, 0.002470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29794, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002655, 0.002655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30050, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005851, 0.005851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30143, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005574, 0.005574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002651, 0.002651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30456, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30478, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30897, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30990, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004850, 0.004850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004847, 0.004847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31083, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004755, 0.004755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31095, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002802, 0.002802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31371, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31393, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31649, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31742, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004757, 0.004757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31835, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004597, 0.004597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31847, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32059, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002624, 0.002624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32081, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32481, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004952, 0.004952) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32720, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002663, 0.002663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32742, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32942, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005383, 0.005383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33035, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005088, 0.005088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33220, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005797, 0.005797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33333, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005361, 0.005361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33355, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33448, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33865, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.074075' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34023, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'Q', The 'values' attribute has a '-0.046548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002883, 0.002883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34107, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002912, 0.002912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34199, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002781, 0.002781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005415, 0.005415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34291, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004293, 0.004293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002997, 0.002997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34383, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002733, 0.002733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011964, 0.011964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011099, 0.011099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34588, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011988, 0.011988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.011123, 0.011123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34738, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008267, 0.008267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34995, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008053, 0.008053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002697, 0.002697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35067, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002658, 0.002658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35089, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002837, 0.002837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002711, 0.002711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35345, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002634, 0.002634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005638, 0.005638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35438, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6429, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6450, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6651, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26818, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 33158, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33564, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002889, 0.002889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002721, 0.002721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 335, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003095, 0.003095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 495, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.074302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.065348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.040406' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.133354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.124391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.098804' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.027321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.285464' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.276332' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.251150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.176459' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.444347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.435002' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.408466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.331557' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 618, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'X', The 'values' attribute has a '-0.113717' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002298, 0.002298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 679, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.029751' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.016263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.213476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.198932' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.162862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.067868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.411539' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.396471' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.358659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.262794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.998363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.980824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.939342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.842748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.569878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.314113' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.295497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.251365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.154334' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.881691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.078966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.634790' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.615108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.569337' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.469344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.199428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.393975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.024099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.003168' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.954691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.854022' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.583580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.781446' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.285375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.263894' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.214453' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.112447' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.843412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.047715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.944732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.921560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.870368' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.763516' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.492755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.701248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.609755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.585124' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.530921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.419202' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-3.151727' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-2.358487' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 801, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.006863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 862, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002657, 0.002657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1034, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1217, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.168172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.156977' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.130850' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.065411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.368976' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.357889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.331973' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.266145' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.070407' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.943443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.931708' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.904785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.838845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.643627' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.041193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.245383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.233253' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.206076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.139832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.945326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.342278' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.548844' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.536577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.508483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.442246' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-1.250230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1439, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.645999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020222, 0.020222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1510, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020466, 0.020466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.037316' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.034675' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.025681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1735, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'X', The 'values' attribute has a '-0.000551' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004985, 0.004985) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1784, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002971, 0.002971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1806, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.161363' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.143259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.104732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.016348' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.354115' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.337089' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.298800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.210256' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.931700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.912579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.869812' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.779239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.555064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.243449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.223207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.178356' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.086565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.864000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.242030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.559691' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.538524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.491752' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.399059' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-1.174775' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1964, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.560179' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2078, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001990, 0.001990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2101, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001945, 0.001945) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__ff_5.5v_lowhv_5.5v_lv_1.95v_-40C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019286, 0.019286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 197, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018507, 0.018507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 217, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025097, 0.025097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 388, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024644, 0.024644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033833, 0.033833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 580, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032644, 0.032644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 600, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017427, 0.017427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 772, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017381, 0.017381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 792, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028876, 0.028876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 970, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.027996, 0.027996) will be assigned to the attribute. (LBDB-1054) +Warning: Line 990, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.008897, 0.008897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1168, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.008912, 0.008912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1188, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 1360, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1504, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1555, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1657, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1708, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 1756, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 1952, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.850180, 0.850180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.856414, 0.856414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 2147, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 2169, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.120581, 0.120581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2341, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.143906, 0.143906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.098192, 0.098192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2369, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.176862, 0.176862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2397, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2409, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.866088, 1.866088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2421, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.531797, 2.531797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2449, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050206, 0.050206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045986, 0.045986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2477, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045989, 0.045989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006731, 0.006731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 245, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006416, 0.006416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004949, 0.004949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006623, 0.006623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 763, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006308, 0.006308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 851, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1023, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1029, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 1035, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028484, 0.028484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028373, 0.028373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1102, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033119, 0.033119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1273, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032530, 0.032530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1293, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052544, 0.052544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1465, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051707, 0.051707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1485, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016757, 0.016757) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1663, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016651, 0.016651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1683, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037416, 0.037416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1854, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036384, 0.036384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.013330, 0.013330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2114, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012664, 0.012664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2134, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.007022, 0.007022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2240, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2328, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017673, 0.017673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017565, 0.017565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2519, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005610, 0.005610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2690, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005320, 0.005320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2778, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005769, 0.005769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2949, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005310, 0.005310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3338, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025261, 0.025261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024267, 0.024267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3444, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006928, 0.006928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3693, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006611, 0.006611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3781, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.020202, 0.020202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3953, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.018312, 0.018312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3973, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033266, 0.033266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033142, 0.033142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4173, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007164, 0.007164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4344, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006867, 0.006867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4432, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014331, 0.014331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4603, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.014156, 0.014156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4691, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 4979, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5122, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.790866' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.783013' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.764218' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.721609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.620193' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.377830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.007402' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.999293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.980763' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.937421' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.836704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.593219' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.001907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.404455' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.396601' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.377865' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.335492' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.233732' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.989733' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.399238' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.656028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.654774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.629442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.589192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.486642' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.241921' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-2.651035' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.649811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.642391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.622903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.581726' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.477600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-4.234470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-3.643233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.096807' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.088613' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.070288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-6.028235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.926466' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.683990' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-5.089942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413401' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.413399' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.399565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.351770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-28.240139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.997404' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-27.436911' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740028' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.740025' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.711765' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.669102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.564377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.337051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-42.729706' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314903' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.314896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.294090' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.247391' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-113.150139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.901314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-112.311928' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.065430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-386.021637' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.909515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.658936' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5138, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-385.089020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5239, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 5747, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5819, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.760140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.752320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.726753' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.665465' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.494526' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.976628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.967667' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.943239' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.881958' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.711017' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.182527' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.373495' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.364943' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.340112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.278814' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.107878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.579330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.625081' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.618309' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.592849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.530367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.359473' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.830878' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-1.140634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.619306' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.610521' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.585040' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.523108' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-4.352532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.823952' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-2.133460' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.066992' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.056700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-6.030780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.971837' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.800261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.271756' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-3.588689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380104' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.380102' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.357597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.288794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-28.129681' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-27.601118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-25.899910' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.704998' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.695965' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.687366' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.638424' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.437828' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-42.912441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.223488' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.292122' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.250931' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.188744' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-113.018341' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-112.496468' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-110.804062' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.061371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-386.034546' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.957520' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.855011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-385.274750' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5835, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-383.605011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 5890, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 6007, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', This CCS noise stage group is missing input_signal_level attribute (LBDB-939) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 6399, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7090, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7111, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7162, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7197, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7248, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7283, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7312, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7333, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7362, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7413, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7464, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7499, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7528, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7549, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7629, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7650, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7701, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7808, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7843, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7872, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7907, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7942, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7971, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 7992, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8043, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8094, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8129, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8150, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8179, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8200, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8251, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8302, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8353, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8404, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8433, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8484, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 8592, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', This CCS noise stage group is missing output_signal_level attribute (LBDB-939) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8764, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.140543, 0.140543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.140242, 0.140242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Warning: Line 8795, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.133581, 0.133581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.133241, 0.133241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an input/inout pin should specify at least 1 non-static 'ccsn_first_stage' groups. (LBDB-734) +Warning: Line 8804, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', an output/inout pin should either specify at least one non-static + 'ccsn_last_stage' in the pin group or specify noise data for all of its timing arcs. (LBDB-712) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.950000 of 'voltage' of the default operating_conditions 'ff_1.95_5.50_5.50_-40'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.950000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value -40.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ff_1.95v_-40C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1r4VFJH7/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_-40C.lib' +Loading db file '/tmp/_pt1rCuD2QO/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib' +Loading db file '/tmp/_pt1rODyObO/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1ruEun2T/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1rq1IA2x/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rajH4PC/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1rQtickP/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1re0Fcy5/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1r4uxBxp/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib' +Loading db file '/tmp/_pt1r0RAZhN/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib' +Loading db file '/tmp/_pt1r0aDjNe/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1952/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2026/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2058/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2071/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout2085/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1789/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1805/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1988/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__clkinv_16) soc/_14079_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1642/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1685/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1685/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1741/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1741/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1767/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1767/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1772/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1772/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1852/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1852/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1429/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1429/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1644/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1644/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1474/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1474/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1486/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1486/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/max_cap1505/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/max_cap1505/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1641/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1641/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1462/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1462/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1464/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1464/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1467/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1467/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1469/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1469/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1472/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1472/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1480/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1480/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1482/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1482/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1484/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1484/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1618/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1618/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1630/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1630/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1632/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1632/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1631/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1631/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1634/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/fanout1634/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59972. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/nom/caravan.ff.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:23:52 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__inv_8) soc/_13759_/A-->Y (min rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_16) gpio_control_in_1a[2]/output6/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__inv_8) soc/_13759_/A-->Y (max rising & falling negative_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__ff_1.95v_-40C/sky130_fd_sc_hd__buf_12) housekeeping/output245/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ff.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ff_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ff_test.db' +Information: Elapsed time for lib file writing: 1 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 684 584 +DB-1 Error 12 12 +PARA-040 Warning 321678 320929 +RC-009 Warning 277 135 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 6 types of messages are suppressed +Maximum memory usage for this session: 2782.31 MB +CPU usage for this session: 207 seconds +Elapsed time for this session: 78 seconds +Diagnostics summary: 69 errors, 287 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-nom-s-sta.log b/scripts/logs/caravan/caravan-nom-s-sta.log new file mode 100644 index 00000000..8bbbd362 --- /dev/null +++ b/scripts/logs/caravan/caravan-nom-s-sta.log @@ -0,0 +1,5518 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' ... +Warning: Line 6, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 6, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 648, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 668, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 688, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 708, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 728, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1278, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1298, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1318, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002535, 0.002535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1338, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1358, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1908, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1928, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004604, 0.004604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1948, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1968, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004520, 0.004520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1988, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003967, 0.003967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2538, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001816, 0.001816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2558, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2578, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2598, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2618, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3168, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3188, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3208, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3228, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3248, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004810, 0.004810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3798, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3818, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004498, 0.004498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3838, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3858, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004366, 0.004366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3878, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4428, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007948, 0.007948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008784, 0.008784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4448, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008095, 0.008095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4468, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008676, 0.008676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4488, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007716, 0.007716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008760, 0.008760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4508, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4920, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4940, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4960, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4980, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5392, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5412, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5432, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5452, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5864, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004042, 0.004042) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5884, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5904, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004377, 0.004377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5924, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003997, 0.003997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6336, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6356, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6376, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6396, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6808, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004407, 0.004407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6828, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6848, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003993, 0.003993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6868, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7280, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009171, 0.009171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7300, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008480, 0.008480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009292, 0.009292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7320, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008823, 0.008823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7340, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007841, 0.007841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7646, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7666, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7686, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001552, 0.001552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7992, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8012, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001250, 0.001250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8032, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001195, 0.001195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8338, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8358, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8378, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8684, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8704, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8724, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9030, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9050, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9070, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004419, 0.004419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9376, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004799, 0.004799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9396, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9416, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9722, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008039, 0.008039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009264, 0.009264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9742, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008550, 0.008550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9762, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10068, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10088, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10108, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10414, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10434, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10454, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10760, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10780, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004474, 0.004474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004550, 0.004550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10800, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11106, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11126, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11146, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11452, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004173, 0.004173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11472, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11492, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008561, 0.008561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11798, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11818, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008558, 0.008558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008752, 0.008752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11838, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12388, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12408, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12428, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12448, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12468, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13018, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13038, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13058, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13078, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13098, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13648, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004280, 0.004280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13668, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004016, 0.004016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13688, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13708, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003921, 0.003921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13728, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003998, 0.003998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002314, 0.002314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14278, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14298, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14318, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14338, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14358, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14908, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004795, 0.004795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14928, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004439, 0.004439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14948, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004858, 0.004858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14968, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004391, 0.004391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004430, 0.004430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14988, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008429, 0.008429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15538, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007910, 0.007910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009232, 0.009232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15558, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008515, 0.008515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008458, 0.008458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15578, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009094, 0.009094) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15598, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008717, 0.008717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15618, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007670, 0.007670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16370, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16390, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16410, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16430, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16450, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16470, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002106, 0.002106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16882, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16902, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16922, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16942, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17354, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17374, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17394, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17414, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004358, 0.004358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17826, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004088, 0.004088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004827, 0.004827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17846, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004457, 0.004457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17866, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17886, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18298, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18318, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18338, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18358, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002136, 0.002136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004268, 0.004268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18770, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18790, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18810, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004389, 0.004389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18830, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003906, 0.003906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008320, 0.008320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19242, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007826, 0.007826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008689, 0.008689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19262, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007949, 0.007949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008448, 0.008448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19282, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007774, 0.007774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008773, 0.008773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19302, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007778, 0.007778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19714, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001257, 0.001257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19734, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19754, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19774, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20186, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20206, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20226, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001590, 0.001590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20246, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004920, 0.004920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20658, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004554, 0.004554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20678, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004797, 0.004797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20698, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004450, 0.004450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20718, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21130, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21150, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21170, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21190, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21602, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004526, 0.004526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21622, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004080, 0.004080) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004805, 0.004805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21642, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004312, 0.004312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21662, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004072, 0.004072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008831, 0.008831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22074, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008146, 0.008146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008939, 0.008939) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22094, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008002, 0.008002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009209, 0.009209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22114, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008511, 0.008511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008500, 0.008500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22134, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007986, 0.007986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22684, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22704, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22724, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22744, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22764, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23314, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23334, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23354, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23374, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23394, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23944, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004055, 0.004055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23964, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23984, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004031, 0.004031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24004, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24024, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003934, 0.003934) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24574, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24594, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24614, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24634, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24654, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25204, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25224, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25244, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25264, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003988, 0.003988) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004447, 0.004447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25284, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25834, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25854, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007924, 0.007924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008664, 0.008664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25874, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007956, 0.007956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008557, 0.008557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25894, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007680, 0.007680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008765, 0.008765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25914, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007690, 0.007690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26326, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002382, 0.002382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26346, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26366, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26386, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26798, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26818, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26838, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26858, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27270, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004059, 0.004059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27290, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004944, 0.004944) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27310, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27330, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004122, 0.004122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27742, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27762, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27782, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27802, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28214, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28234, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28254, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004075, 0.004075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28274, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008433, 0.008433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28686, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008010, 0.008010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008435, 0.008435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28706, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007897, 0.007897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008684, 0.008684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28726, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008713, 0.008713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28746, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002360, 0.002360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29296, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29316, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29336, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29356, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29376, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29926, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29946, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29966, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29986, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30006, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30556, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30576, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004058, 0.004058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30596, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30616, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004090, 0.004090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30636, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31186, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31206, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31226, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31246, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31266, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004349, 0.004349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31816, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31836, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004506, 0.004506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31856, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004135, 0.004135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004272, 0.004272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31876, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31896, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003928, 0.003928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008329, 0.008329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32446, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007936, 0.007936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008306, 0.008306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32466, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007745, 0.007745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32486, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007839, 0.007839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008402, 0.008402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32506, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008771, 0.008771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32526, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007742, 0.007742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33076, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33096, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33136, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33156, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33706, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33726, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33746, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33766, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33786, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34336, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34356, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004005, 0.004005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34376, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004443, 0.004443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34396, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34416, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004169, 0.004169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34966, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34986, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35006, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35026, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35046, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35596, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004009, 0.004009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35616, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35636, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004123, 0.004123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35656, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004549, 0.004549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35676, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36226, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008377, 0.008377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36246, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007919, 0.007919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008352, 0.008352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36266, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36286, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007874, 0.007874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36306, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36522, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001458, 0.001458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36542, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36758, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36778, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36994, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37014, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37230, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37250, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37466, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37486, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37702, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001379, 0.001379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37722, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37938, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001302, 0.001302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37958, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001389, 0.001389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38264, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38284, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38304, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38610, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001430, 0.001430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38630, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001384, 0.001384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38650, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38956, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38976, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38996, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39302, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001444, 0.001444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39322, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39342, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39648, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39668, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001378, 0.001378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39688, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001498, 0.001498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39994, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40014, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40034, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40446, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40466, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001429, 0.001429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40486, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001493, 0.001493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40506, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40918, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40938, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001443, 0.001443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40958, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001468, 0.001468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40978, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002342, 0.002342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41390, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41410, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002293, 0.002293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41430, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41450, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001487, 0.001487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41862, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41882, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41902, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41922, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42334, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42354, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42374, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42394, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001406, 0.001406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001460, 0.001460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42806, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42826, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42846, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42866, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001424, 0.001424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43278, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43298, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43318, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43338, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43750, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43770, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001420, 0.001420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43790, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43810, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44222, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001464, 0.001464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44242, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44262, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44282, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002040, 0.002040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44416, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008992, 0.008992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44540, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013361, 0.013361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012231, 0.012231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44664, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44788, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44912, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45036, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006854, 0.006854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006307, 0.006307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45160, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45284, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45408, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006807, 0.006807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006262, 0.006262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45532, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45656, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002035, 0.002035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001919, 0.001919) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45780, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007578, 0.007578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006758, 0.006758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45904, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002014, 0.002014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46028, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001941, 0.001941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46152, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46276, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46400, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002030, 0.002030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46524, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46648, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002057, 0.002057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46772, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46896, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002039, 0.002039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47020, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002052, 0.002052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47144, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002003, 0.002003) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47268, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002810, 0.002810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47392, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039236, 0.039236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.034593, 0.034593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47516, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005301, 0.005301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47640, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010420, 0.010420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009236, 0.009236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47764, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020650, 0.020650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018288, 0.018288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47888, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004499, 0.004499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003914, 0.003914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48012, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008666, 0.008666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007504, 0.007504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48136, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 48147, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 48167, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 48176, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003274, 0.003274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48401, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003059, 0.003059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48452, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001507, 0.001507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48485, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001422, 0.001422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48523, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48569, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48581, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48604, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003185, 0.003185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49177, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49228, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49261, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001423, 0.001423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49299, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49345, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49357, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49380, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001421, 0.001421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49953, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003031, 0.003031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50004, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50037, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50075, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50121, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50133, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001500, 0.001500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50156, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003181, 0.003181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50694, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003166, 0.003166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50732, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50751, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50763, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50786, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003183, 0.003183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51176, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51214, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51233, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51245, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001829, 0.001829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51268, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51658, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51696, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001686, 0.001686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51715, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51727, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51750, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52013, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003150, 0.003150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52051, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52070, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52082, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52105, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003186, 0.003186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52368, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003169, 0.003169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52406, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52425, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52437, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52460, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003187, 0.003187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52723, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003159, 0.003159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52761, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52780, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52792, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52815, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53078, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53090, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53113, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002118, 0.002118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53427, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003060, 0.003060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53465, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53560, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53572, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53595, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003089, 0.003089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53909, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002933, 0.002933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53947, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001655, 0.001655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54042, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54054, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54077, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003148, 0.003148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54264, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54302, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54397, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54409, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54432, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54619, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002981, 0.002981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54657, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001654, 0.001654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54752, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54764, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54787, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003012, 0.003012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54974, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002975, 0.002975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55012, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55090, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55102, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55125, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55410, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001531, 0.001531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55422, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55445, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55730, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55742, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001478, 0.001478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55765, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55967, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55979, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56002, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56204, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56216, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001441, 0.001441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56239, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001020, 0.001020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56413, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000970, 0.000970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56485, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003872, 0.003872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56575, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003617, 0.003617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56588, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56607, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56721, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003853, 0.003853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56811, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003590, 0.003590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56824, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56843, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56957, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004623, 0.004623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57047, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57060, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57079, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57208, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57246, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57265, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57325, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57337, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57886, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57924, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57943, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58003, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58015, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58564, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58602, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58621, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001678, 0.001678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58681, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58693, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59242, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59280, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001596, 0.001596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59299, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59359, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001516, 0.001516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59371, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59920, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002168, 0.002168) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59958, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59977, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60037, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60049, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60367, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002154, 0.002154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60405, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60424, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60484, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60496, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60814, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60852, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60871, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60931, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60943, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61261, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61299, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61318, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001636, 0.001636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61378, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001610, 0.001610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61390, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61708, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61746, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001735, 0.001735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61765, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61825, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61837, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62155, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62193, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001659, 0.001659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62212, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001621, 0.001621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001629, 0.001629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62272, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62284, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62585, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62645, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001601, 0.001601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62657, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63041, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63101, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63113, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63497, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63557, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63569, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63953, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64013, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001527, 0.001527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64025, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64252, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64312, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64324, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64551, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64611, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64623, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001663, 0.001663) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64850, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64910, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64922, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65212, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001582, 0.001582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65336, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65460, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65584, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001476, 0.001476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65708, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65832, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053357' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053280' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.053247' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.426612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.384139' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.532146' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66093, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-1.411016' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001759, 0.001759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66100, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003206, 0.003206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002869, 0.002869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66120, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.069018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.066298' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.064802' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.415693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.756429' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66381, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-1.634335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66388, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004271, 0.004271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003731, 0.003731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66408, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.086925' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.084457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.550320' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.549704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.534800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.494532' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.863770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.846163' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66669, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-1.799838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66676, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007326, 0.007326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006335, 0.006335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66696, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.110567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.095288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.089482' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.575594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.550158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.527251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.195659' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-2.012032' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.942505' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66957, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-1.910669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66964, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011551, 0.011551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009770, 0.009770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66984, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67251, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67263, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67286, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67346, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003109, 0.003109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67580, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67592, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001748, 0.001748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67615, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001626, 0.001626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003223, 0.003223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67675, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003038, 0.003038) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67774, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67794, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067206' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.067129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.066231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.384578' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383869' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.383209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.380156' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603891' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.603569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.601450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68016, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-1.532528' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68062, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003081, 0.003081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002725, 0.002725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68082, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.072344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.069015' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.475774' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.472299' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.462907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.448389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.408319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.595829' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.594581' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.591913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.581389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68304, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-1.537361' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68350, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003640, 0.003640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68370, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.058905' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.049805' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.048371' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.370719' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.368121' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.381203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.380472' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68592, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-1.342913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008356, 0.008356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68638, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007117, 0.007117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006111, 0.006111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68658, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.073836' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.069575' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423699' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.423409' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.416377' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.623284' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.621385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.620221' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597358' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68880, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-1.597041' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016865, 0.016865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68926, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015503, 0.015503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011194, 0.011194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009428, 0.009428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68946, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.057425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.446301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.433860' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.690382' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69168, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-1.675523' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69214, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69234, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017862' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.017845' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.126525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.529723' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.508987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.685594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.657669' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.654056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.653171' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69442, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-1.650483' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004351, 0.004351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69502, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003462, 0.003462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69522, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019849' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.019799' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.124160' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.496906' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.495960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.768272' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.765250' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69730, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-1.722367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008525, 0.008525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69790, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007835, 0.007835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005531, 0.005531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.005902, 0.005902) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69810, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.018540' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.013112' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.123548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.118912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.457073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.454167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.433003' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.432420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429673' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.429469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.630061' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.602579' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540258' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70018, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-1.540123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016772, 0.016772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70078, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015476, 0.015476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008164, 0.008164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009075, 0.009075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70098, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.010056' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.009848' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.008907' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.098798' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.096362' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.430031' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.428588' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.427589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.423623' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.479342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70306, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-1.468953' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006433, 0.006433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006192, 0.006192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70991, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005830, 0.005830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005597, 0.005597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71001, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004353, 0.004353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004269, 0.004269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71011, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007553, 0.007553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007161, 0.007161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71685, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006893, 0.006893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006524, 0.006524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71695, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004979, 0.004979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004865, 0.004865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71705, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007566, 0.007566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007172, 0.007172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72379, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006927, 0.006927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006552, 0.006552) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72389, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005006, 0.005006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004900, 0.004900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72399, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004406, 0.004406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73073, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006760, 0.006760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006438, 0.006438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73083, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73093, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73767, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006136, 0.006136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73777, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004742, 0.004742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73787, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74461, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007973, 0.007973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74471, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74481, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002868, 0.002868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002753, 0.002753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74942, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002678, 0.002678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74952, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003207, 0.003207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75413, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003153, 0.003153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003147, 0.003147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75423, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009380, 0.009380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008748, 0.008748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75884, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007950, 0.007950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75894, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76018, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.025860, 0.025860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.023989, 0.023989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76142, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.033453, 0.033453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031064, 0.031064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76266, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004413, 0.004413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004129, 0.004129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76390, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008899, 0.008899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008288, 0.008288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76514, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013140, 0.013140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012210, 0.012210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76638, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017473, 0.017473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016230, 0.016230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76762, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.001958, 0.001958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76803, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002034, 0.002034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001918, 0.001918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76941, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007572, 0.007572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006777, 0.006777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77070, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002004, 0.002004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77199, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77328, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003587, 0.003587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77457, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003058, 0.003058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002752, 0.002752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77586, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.039514, 0.039514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035028, 0.035028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77715, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005315, 0.005315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004722, 0.004722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77844, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010442, 0.010442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009267, 0.009267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77973, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.020716, 0.020716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018410, 0.018410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78102, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78238, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78277, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78298, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001332, 0.001332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78477, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78516, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78537, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78716, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78896, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78917, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78955, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79135, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79156, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79194, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79386, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79447, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79460, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79496, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79692, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79713, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79735, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008847, 0.008847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79931, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.033355, 0.033355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79952, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.030009, 0.030009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79974, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80170, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80191, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80213, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80409, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80430, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80452, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80648, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004322, 0.004322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017115, 0.017115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80669, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015411, 0.015411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80691, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80892, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.008792, 0.008792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80913, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008139, 0.008139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81054, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81185, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81316, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005621, 0.005621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005706, 0.005706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81451, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005612, 0.005612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005699, 0.005699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81582, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005635, 0.005635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005720, 0.005720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81713, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005620, 0.005620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005707, 0.005707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81844, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81857, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81877, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002602, 0.002602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82172, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82192, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002954, 0.002954) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82212, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002822, 0.002822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003189, 0.003189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82518, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002990, 0.002990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003026, 0.003026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82538, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002742, 0.002742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003685, 0.003685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82558, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82864, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82884, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003851, 0.003851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005004, 0.005004) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82904, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004692, 0.004692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83259, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83279, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001729, 0.001729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003250, 0.003250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83299, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003082, 0.003082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83654, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001742, 0.001742) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83674, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83694, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002344, 0.002344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84049, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84069, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005134, 0.005134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84089, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004917, 0.004917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84444, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005267, 0.005267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84464, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.007885, 0.007885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84484, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007356, 0.007356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84839, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002069, 0.002069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84859, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002113, 0.002113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004616, 0.004616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84879, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85234, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004285, 0.004285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85254, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003915, 0.003915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006427, 0.006427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85274, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.005981, 0.005981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008314, 0.008314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85629, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007587, 0.007587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008472, 0.008472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85649, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011118, 0.011118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85669, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010415, 0.010415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86519, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001386, 0.001386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001359, 0.001359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86539, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001390, 0.001390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86559, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86579, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.003799, 0.003799) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86599, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003539, 0.003539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86619, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87469, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001646, 0.001646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001767, 0.001767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87489, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87509, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87529, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005359, 0.005359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87549, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004969, 0.004969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003097, 0.003097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87569, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002950, 0.002950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001770, 0.001770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88419, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001634, 0.001634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001763, 0.001763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88439, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88459, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88479, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005370, 0.005370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88499, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004971, 0.004971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003100, 0.003100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88519, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88735, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88755, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004372, 0.004372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88971, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88991, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008467, 0.008467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89207, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008009, 0.008009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008842, 0.008842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89227, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008111, 0.008111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016845, 0.016845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89443, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015925, 0.015925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017293, 0.017293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89463, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015826, 0.015826) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001309, 0.001309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89679, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001241, 0.001241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89699, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001213, 0.001213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89915, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001163, 0.001163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89935, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004077, 0.004077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002385, 0.002385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90151, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008884, 0.008884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90171, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008138, 0.008138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90477, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002383, 0.002383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90497, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90517, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004297, 0.004297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90823, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90843, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004515, 0.004515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90863, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004162, 0.004162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008588, 0.008588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91169, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008593, 0.008593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91189, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008055, 0.008055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008822, 0.008822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91209, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008089, 0.008089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91515, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001221, 0.001221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91535, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91555, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91861, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004516, 0.004516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91881, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91901, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004093, 0.004093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92207, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008508, 0.008508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92227, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007958, 0.007958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92247, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92659, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92679, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92699, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92719, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93131, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004364, 0.004364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93151, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004311, 0.004311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93171, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004458, 0.004458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93191, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004097, 0.004097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008394, 0.008394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93603, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008079, 0.008079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93623, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008021, 0.008021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008554, 0.008554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93643, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93663, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94075, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94095, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94115, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94135, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94547, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94567, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004184, 0.004184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94587, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004160, 0.004160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004494, 0.004494) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94607, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95019, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008509, 0.008509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95039, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008045, 0.008045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008657, 0.008657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95059, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008806, 0.008806) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95079, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008051, 0.008051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95491, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001377, 0.001377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95511, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95531, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95551, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95963, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001367, 0.001367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95983, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004442, 0.004442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96003, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96023, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96435, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002355, 0.002355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96455, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008384, 0.008384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96475, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007858, 0.007858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008548, 0.008548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96495, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007857, 0.007857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002362, 0.002362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96711, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96731, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004394, 0.004394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96947, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96967, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008712, 0.008712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97183, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008790, 0.008790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97203, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017014, 0.017014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97419, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015723, 0.015723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.017164, 0.017164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97439, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015427, 0.015427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97655, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97675, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001330, 0.001330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97891, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004007, 0.004007) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001373, 0.001373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97911, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008660, 0.008660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98127, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008031, 0.008031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98147, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98453, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98473, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98493, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98799, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98819, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004022, 0.004022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98839, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003958, 0.003958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008686, 0.008686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99145, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008022, 0.008022) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009177, 0.009177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99165, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008608, 0.008608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99185, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007673, 0.007673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99491, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99511, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99531, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99837, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99857, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004113, 0.004113) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99877, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001145, 0.001145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008774, 0.008774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100183, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008082, 0.008082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100203, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007763, 0.007763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100223, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100635, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100655, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100675, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100695, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004370, 0.004370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101107, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004396, 0.004396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101127, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004424, 0.004424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101147, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101167, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003894, 0.003894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101579, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007992, 0.007992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008761, 0.008761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101599, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007876, 0.007876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101619, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007664, 0.007664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.008818, 0.008818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101639, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007727, 0.007727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102051, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102071, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102091, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001375, 0.001375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102111, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001298, 0.001298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102523, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102543, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102563, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003940, 0.003940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001354, 0.001354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102583, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001291, 0.001291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008610, 0.008610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102995, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007959, 0.007959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008670, 0.008670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103015, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007814, 0.007814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008654, 0.008654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103035, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103055, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103467, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103487, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001417, 0.001417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103507, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001350, 0.001350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103527, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001242, 0.001242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103939, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004068, 0.004068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004409, 0.004409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103959, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003980, 0.003980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001285, 0.001285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103979, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001225, 0.001225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001416, 0.001416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103999, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008665, 0.008665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104411, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008011, 0.008011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104431, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007868, 0.007868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104451, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104471, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105021, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002334, 0.002334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105041, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002110, 0.002110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002283, 0.002283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105061, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105081, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105101, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105651, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105671, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105691, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105711, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105731, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004431, 0.004431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106281, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004064, 0.004064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004817, 0.004817) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106301, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004397, 0.004397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106321, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004125, 0.004125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004731, 0.004731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106341, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004354, 0.004354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106361, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106911, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002121, 0.002121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002384, 0.002384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106931, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002150, 0.002150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106951, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106971, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106991, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107541, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004024, 0.004024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107561, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003968, 0.003968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107581, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004166, 0.004166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004308, 0.004308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107601, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004266, 0.004266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107621, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004110, 0.004110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008738, 0.008738) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108171, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008037, 0.008037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108191, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007744, 0.007744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008546, 0.008546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108211, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007943, 0.007943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108231, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007901, 0.007901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008321, 0.008321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108251, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008006, 0.008006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108663, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108683, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108703, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002166, 0.002166) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108723, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109135, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109155, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002139, 0.002139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109175, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109195, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004894, 0.004894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109607, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004537, 0.004537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109627, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004966, 0.004966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109647, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004657, 0.004657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109667, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110079, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110099, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110119, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110139, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110551, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004054, 0.004054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110571, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004381, 0.004381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110591, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004114, 0.004114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110611, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111023, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008385, 0.008385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111043, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007772, 0.007772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009072, 0.009072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111063, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008430, 0.008430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111083, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007978, 0.007978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111389, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111409, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111429, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111735, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111755, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111775, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004869, 0.004869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112081, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004521, 0.004521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004534, 0.004534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112101, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004073, 0.004073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112121, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112427, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112447, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112467, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112773, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112793, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001922, 0.001922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112813, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004852, 0.004852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113119, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004497, 0.004497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113139, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004350, 0.004350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113159, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004108, 0.004108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009185, 0.009185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113465, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008464, 0.008464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113485, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008649, 0.008649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113505, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008144, 0.008144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113811, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002449, 0.002449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113831, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001268, 0.001268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113851, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001215, 0.001215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114157, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002430, 0.002430) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114177, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114197, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001238, 0.001238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004436, 0.004436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114503, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004091, 0.004091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004517, 0.004517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114523, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114543, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002227, 0.002227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114849, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114869, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002132, 0.002132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114889, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004402, 0.004402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115195, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115215, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115235, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001200, 0.001200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008900, 0.008900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115541, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008208, 0.008208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115561, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007770, 0.007770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115581, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116131, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002452, 0.002452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116151, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116171, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116191, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116211, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116761, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116781, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116801, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116821, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116841, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117391, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117411, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003948, 0.003948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004758, 0.004758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117431, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004477, 0.004477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004368, 0.004368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117451, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003984, 0.003984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004300, 0.004300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117471, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004101, 0.004101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118021, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002164, 0.002164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118041, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002131, 0.002131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002310, 0.002310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118061, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118081, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118101, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004807, 0.004807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118651, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004440, 0.004440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004416, 0.004416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118671, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004886, 0.004886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118691, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004570, 0.004570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118711, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003963, 0.003963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118731, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009191, 0.009191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119281, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008452, 0.008452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119301, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007748, 0.007748) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008979, 0.008979) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119321, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008411, 0.008411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008344, 0.008344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119341, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007574, 0.007574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008347, 0.008347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119361, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007994, 0.007994) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002357, 0.002357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119773, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002466, 0.002466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119793, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119813, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119833, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002356, 0.002356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120245, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120265, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120285, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120305, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004837, 0.004837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120717, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120737, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003986, 0.003986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004853, 0.004853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120757, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120777, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121189, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002387, 0.002387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121209, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121229, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002386, 0.002386) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121249, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004392, 0.004392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121661, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004057, 0.004057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121681, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003946, 0.003946) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004290, 0.004290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121701, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004382, 0.004382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121721, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004013, 0.004013) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122133, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008443, 0.008443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008709, 0.008709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122153, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007759, 0.007759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122173, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008444, 0.008444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008469, 0.008469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122193, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001311, 0.001311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122605, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001395, 0.001395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122625, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001343, 0.001343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122645, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001339, 0.001339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122665, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123077, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001521, 0.001521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123097, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123117, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123137, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001708, 0.001708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004868, 0.004868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123549, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004411, 0.004411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123569, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004845, 0.004845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123589, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123609, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124021, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124041, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002341, 0.002341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124061, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124081, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002172, 0.002172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004866, 0.004866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124493, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004422, 0.004422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124513, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004172, 0.004172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004823, 0.004823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124533, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004451, 0.004451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004427, 0.004427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124553, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003970, 0.003970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008776, 0.008776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124965, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008060, 0.008060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.008724, 0.008724) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124985, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008268, 0.008268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008716, 0.008716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125005, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008018, 0.008018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125025, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007753, 0.007753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002367, 0.002367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125575, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002412, 0.002412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125595, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002180, 0.002180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125615, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002163, 0.002163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125635, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002347, 0.002347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125655, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126205, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002171, 0.002171) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126225, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126245, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126265, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126285, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004461, 0.004461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126835, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004453, 0.004453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126855, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004008, 0.004008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126875, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004483, 0.004483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126895, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126915, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004234, 0.004234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127465, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127485, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127505, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001523, 0.001523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127525, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001672, 0.001672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127545, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001613, 0.001613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128095, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128115, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128135, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002129, 0.002129) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002336, 0.002336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128155, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002306, 0.002306) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128175, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128725, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004138, 0.004138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004481, 0.004481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128745, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004575, 0.004575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128765, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004491, 0.004491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128785, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004207, 0.004207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004361, 0.004361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128805, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008685, 0.008685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129355, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008005, 0.008005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008700, 0.008700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129375, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007775, 0.007775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008886, 0.008886) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129395, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007827, 0.007827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129415, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007964, 0.007964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008415, 0.008415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129435, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008054, 0.008054) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129847, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129867, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129887, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129907, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130319, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130339, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002398, 0.002398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130359, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130379, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004438, 0.004438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130791, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004911, 0.004911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130811, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004437, 0.004437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130831, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003931, 0.003931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130851, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004341, 0.004341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131263, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131283, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131303, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002304, 0.002304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131323, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131735, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131755, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004012, 0.004012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131775, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004383, 0.004383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131795, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008688, 0.008688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132207, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008672, 0.008672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132227, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007786, 0.007786) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008999, 0.008999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132247, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007920, 0.007920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008532, 0.008532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132267, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008073, 0.008073) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132817, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132837, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002389, 0.002389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132857, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002135, 0.002135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132877, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132897, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133447, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133467, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133487, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133507, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133527, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004388, 0.004388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134077, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004067, 0.004067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134097, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003930, 0.003930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004502, 0.004502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134117, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004299, 0.004299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134137, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004056, 0.004056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004352, 0.004352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134157, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003995, 0.003995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134707, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002165, 0.002165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134727, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002348, 0.002348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134747, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134767, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134787, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004478, 0.004478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135337, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135357, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003989, 0.003989) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135377, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004018, 0.004018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135397, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135417, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008719, 0.008719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135967, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008076, 0.008076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008504, 0.008504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135987, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007630, 0.007630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008803, 0.008803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136007, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007767, 0.007767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008455, 0.008455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136027, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007982, 0.007982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008490, 0.008490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136047, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007816, 0.007816) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136597, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002159, 0.002159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136617, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136637, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136657, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136677, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137227, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137247, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002209, 0.002209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137267, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002381, 0.002381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137287, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002102, 0.002102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137307, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137857, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004586, 0.004586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137877, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004357, 0.004357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137897, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003868, 0.003868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137917, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003877, 0.003877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004466, 0.004466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137937, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004224, 0.004224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138487, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138507, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002392, 0.002392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138527, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002157, 0.002157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138547, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138567, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004331, 0.004331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139117, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139137, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003882, 0.003882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139157, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003858, 0.003858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004345, 0.004345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139177, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003824, 0.003824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004205, 0.004205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139197, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004032, 0.004032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008698, 0.008698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139747, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008029, 0.008029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008650, 0.008650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139767, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007765, 0.007765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008652, 0.008652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139787, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007710, 0.007710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139807, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008606, 0.008606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139827, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008229, 0.008229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001467, 0.001467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140043, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001353, 0.001353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140063, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140279, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001305, 0.001305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140299, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001299, 0.001299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140515, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001325, 0.001325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140535, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140751, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140771, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140987, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001328, 0.001328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141007, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001262, 0.001262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001652, 0.001652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141223, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001331, 0.001331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141243, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001265, 0.001265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141459, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001484, 0.001484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141479, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001405, 0.001405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141785, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001382, 0.001382) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141805, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141825, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001233, 0.001233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142131, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001370, 0.001370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142151, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001463, 0.001463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142171, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001220, 0.001220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142477, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142497, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002396, 0.002396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142517, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002114, 0.002114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142823, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001385, 0.001385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142843, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001260, 0.001260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142863, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001210, 0.001210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001410, 0.001410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143169, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001303, 0.001303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143189, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143209, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001341, 0.001341) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002464, 0.002464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143515, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143535, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002196, 0.002196) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001412, 0.001412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143555, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001329, 0.001329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143967, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001263, 0.001263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143987, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144007, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001342, 0.001342) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144027, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001199, 0.001199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144439, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001252, 0.001252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001687, 0.001687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144459, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144479, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001335, 0.001335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144499, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001192, 0.001192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144911, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144931, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144951, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144971, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002090, 0.002090) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145383, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001269, 0.001269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145403, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145423, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001327, 0.001327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145443, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001414, 0.001414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145855, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001275, 0.001275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145875, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145895, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001319, 0.001319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001357, 0.001357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145915, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001272, 0.001272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146327, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146347, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146367, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146387, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001334, 0.001334) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146799, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001322, 0.001322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001503, 0.001503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146819, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001387, 0.001387) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146839, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001360, 0.001360) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146859, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001258, 0.001258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147271, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147291, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001380, 0.001380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147311, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001316, 0.001316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147331, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001256, 0.001256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147743, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147763, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001310, 0.001310) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147803, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001249, 0.001249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006894, 0.006894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006344, 0.006344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147937, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006912, 0.006912) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006357, 0.006357) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148061, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003188, 0.003188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148462, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003119, 0.003119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148513, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148546, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148584, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148630, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148642, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148665, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148725, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148785, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003178, 0.003178) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149638, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003023, 0.003023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149689, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001575, 0.001575) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149722, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149760, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149806, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149818, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149841, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149901, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149961, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150814, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003114, 0.003114) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150865, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001549, 0.001549) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150898, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150936, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150982, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150994, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151017, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001355, 0.001355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151077, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151137, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151887, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003134, 0.003134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151925, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151944, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151956, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001514, 0.001514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001788, 0.001788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152294, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152355, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152704, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003135, 0.003135) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152742, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152761, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002184, 0.002184) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152773, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152796, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001834, 0.001834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153111, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153172, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003141, 0.003141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153511, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003263, 0.003263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153549, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153568, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153580, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153603, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153791, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153852, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003099, 0.003099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003144, 0.003144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154191, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003117, 0.003117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154229, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154248, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002176, 0.002176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154260, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154283, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001482, 0.001482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154471, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003803, 0.003803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154532, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003146, 0.003146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154871, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003136, 0.003136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154909, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154928, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154940, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154963, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155151, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003887, 0.003887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155212, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003149, 0.003149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155551, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155589, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155608, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155620, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155643, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001442, 0.001442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001789, 0.001789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155831, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003802, 0.003802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155892, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156207, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156219, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001804, 0.001804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156242, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156557, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156618, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003629, 0.003629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156679, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003053, 0.003053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156717, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156990, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157002, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157025, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157340, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001553, 0.001553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003776, 0.003776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157401, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002968, 0.002968) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157462, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003029, 0.003029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157500, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157768, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001737, 0.001737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157780, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001812, 0.001812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157803, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001720, 0.001720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157991, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003691, 0.003691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158052, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158113, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002931, 0.002931) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158151, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158419, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158431, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158454, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158642, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158703, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003010, 0.003010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158764, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158802, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001832, 0.001832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159070, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159082, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159105, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159293, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001567, 0.001567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159354, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003180, 0.003180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159415, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002927, 0.002927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159453, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159657, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159669, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159692, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159919, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003545, 0.003545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159980, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160226, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001589, 0.001589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160238, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160261, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160488, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001628, 0.001628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003548, 0.003548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160549, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003405, 0.003405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160790, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160802, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160825, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001741, 0.001741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160969, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161030, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003344, 0.003344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161271, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001562, 0.001562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161283, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161306, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161450, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001619, 0.001619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161511, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003406, 0.003406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161752, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161764, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161787, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001747, 0.001747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161931, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001664, 0.001664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003463, 0.003463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161992, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003323, 0.003323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162132, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162222, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003307, 0.003307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162235, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162254, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001749, 0.001749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162315, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162455, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003410, 0.003410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162545, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003070, 0.003070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162558, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001771, 0.001771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162577, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162638, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162778, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162868, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003941, 0.003941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162881, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001915, 0.001915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162900, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001660, 0.001660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001792, 0.001792) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162961, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163504, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001583, 0.001583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163516, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163539, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001644, 0.001644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163599, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003110, 0.003110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003411, 0.003411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163659, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002955, 0.002955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163719, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164261, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164273, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164296, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001642, 0.001642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164356, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003107, 0.003107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164416, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003057, 0.003057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001640, 0.001640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164476, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164930, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164942, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164965, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165025, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003331, 0.003331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165085, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002948, 0.002948) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165145, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165599, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165611, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165634, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001602, 0.001602) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003289, 0.003289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165694, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003039, 0.003039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165754, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002958, 0.002958) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165814, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001561, 0.001561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001739, 0.001739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166268, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166280, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166303, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003217, 0.003217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166363, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003033, 0.003033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003330, 0.003330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166423, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166483, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004126, 0.004126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166837, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166847, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167151, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007984, 0.007984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167161, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.016878, 0.016878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015722, 0.015722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167465, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016016, 0.016016) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015480, 0.015480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167475, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167918, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005377, 0.005377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005190, 0.005190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167928, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003434, 0.003434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003270, 0.003270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167938, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168381, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005188, 0.005188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168391, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003271, 0.003271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168401, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168844, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168854, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168864, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004378, 0.004378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004102, 0.004102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169168, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169178, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009035, 0.009035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008409, 0.008409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169482, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008283, 0.008283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007804, 0.007804) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169492, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017537, 0.017537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016337, 0.016337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169796, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015428, 0.015428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014483, 0.014483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169806, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170249, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005376, 0.005376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005187, 0.005187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170259, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003441, 0.003441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003276, 0.003276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170269, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170712, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005374, 0.005374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005186, 0.005186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170722, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003443, 0.003443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003277, 0.003277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170732, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171175, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005371, 0.005371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171185, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003422, 0.003422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003254, 0.003254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 48186, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 48207, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 48228, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 48249, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 48270, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56383, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56433, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56669, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56905, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66995, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67407, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76773, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 78113, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 78138, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 78163, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 78188, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 78213, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 148072, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149248, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 150424, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162054, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162377, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 162700, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 163023, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163780, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164537, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165206, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165875, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__ss_1.40v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 4489, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4698, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 4884, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5106, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5315, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5524, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 5555, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 5576, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 5584, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007147, 0.007147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5734, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007012, 0.007012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5746, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5807, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5829, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002127, 0.002127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007294, 0.007294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6337, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007250, 0.007250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6349, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6410, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6432, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6777, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6799, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001924, 0.001924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004607, 0.004607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7217, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004241, 0.004241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7229, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7380, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002128, 0.002128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7402, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7657, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003783, 0.003783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7669, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002366, 0.002366) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7803, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002153, 0.002153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7825, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001999, 0.001999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8204, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8226, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002095, 0.002095) will be assigned to the attribute. (LBDB-1054) +Information: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', No internal_power information for the 'sky130_fd_sc_hvl__diode_2' cell. (LBDB-301) +Warning: Line 8451, Cell 'sky130_fd_sc_hvl__diode_2', pin 'DIODE', The pin 'DIODE' does not have a internal_power group. (LBDB-607) +Warning: Line 8534, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005259, 0.005259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8647, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005005, 0.005005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002087, 0.002087) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8669, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8874, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8886, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8947, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9039, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9661, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002351, 0.002351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9753, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9865, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.144430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.273670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10029, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.411600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10234, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.008700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.247330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.390070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10428, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.541400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 10690, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 10876, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11062, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11248, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 11434, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22486, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007700, 0.007700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22736, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007652, 0.007652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22748, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22809, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002119, 0.002119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22831, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001969, 0.001969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23252, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23346, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004629, 0.004629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007177, 0.007177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23655, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007025, 0.007025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23667, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23728, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002086, 0.002086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23750, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001928, 0.001928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24008, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004766, 0.004766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24102, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004323, 0.004323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24380, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24402, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001970, 0.001970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002021, 0.002021) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24658, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004014, 0.004014) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24751, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003614, 0.003614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004302, 0.004302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24844, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003873, 0.003873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24856, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25137, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25159, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002023, 0.002023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25580, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25674, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003695, 0.003695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004469, 0.004469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25768, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004052, 0.004052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25780, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25992, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26014, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002045, 0.002045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26323, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001972, 0.001972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004264, 0.004264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26417, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003889, 0.003889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26657, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002333, 0.002333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26679, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002137, 0.002137) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26880, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26974, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003910, 0.003910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27160, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005256, 0.005256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27273, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004957, 0.004957) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27295, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27388, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28047, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002654, 0.002654) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28139, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002417, 0.002417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004449, 0.004449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28231, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28323, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010960, 0.010960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010209, 0.010209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29518, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010983, 0.010983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010232, 0.010232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29668, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007420, 0.007420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29925, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007457, 0.007457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29997, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002881, 0.002881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30019, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002649, 0.002649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002379, 0.002379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30277, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005336, 0.005336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30371, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004820, 0.004820) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5593, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 5619, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 8426, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 8490, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 27098, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 27504, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__ss_3.00v_100C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018530, 0.018530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017760, 0.017760) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.025026, 0.025026) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024734, 0.024734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032815, 0.032815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031560, 0.031560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017514, 0.017514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.029344, 0.029344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028709, 0.028709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009877, 0.009877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009906, 0.009906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.990185, 0.990185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.991811, 0.991811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.097971, 0.097971) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.128263, 0.128263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.084276, 0.084276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.165904, 0.165904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.681037, 1.681037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.280628, 2.280628) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050068, 0.050068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050067, 0.050067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045975, 0.045975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045964, 0.045964) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006406, 0.006406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006101, 0.006101) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004384, 0.004384) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006303, 0.006303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.005993, 0.005993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028238, 0.028238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028330, 0.028330) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.032997, 0.032997) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032246, 0.032246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052331, 0.052331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051232, 0.051232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016839, 0.016839) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016640, 0.016640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037125, 0.037125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.035950, 0.035950) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012274, 0.012274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.011943, 0.011943) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006697, 0.006697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006389, 0.006389) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017754, 0.017754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017546, 0.017546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005264, 0.005264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005048, 0.005048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005643, 0.005643) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005099, 0.005099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.024920, 0.024920) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.023840, 0.023840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006603, 0.006603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006311, 0.006311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018759, 0.018759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017072, 0.017072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033160, 0.033160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.033120, 0.033120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.006838, 0.006838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006553, 0.006553) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.013998, 0.013998) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013853, 0.013853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1858, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1930, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2001, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.153863' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.139519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.110147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-8.048725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.927259' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.674201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-7.142458' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.553693' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.534817' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.509755' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.437821' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.316123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-14.063051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-13.542736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.610325' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.586590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.544838' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.496433' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.395439' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-44.121498' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-43.585594' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.241135' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154083' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.154068' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.065567' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-166.014389' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.709442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2017, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-165.172791' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2255, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2327, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.106228' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.093183' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.038794' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.927276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.675565' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-7.090203' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-5.545608' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.495994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.479626' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.439888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.313647' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-14.076580' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-13.480126' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.933656' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553211' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.553207' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.495136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.363235' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-44.140987' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-43.536327' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-41.993378' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.115555' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-166.054886' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.941315' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.723587' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-165.124252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2343, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-163.561646' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2398, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2535, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3226, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3298, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3349, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3400, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3435, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3486, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3521, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3550, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3571, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3600, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3651, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3737, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3766, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3787, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3838, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3888, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3939, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3960, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3995, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4046, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4081, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4110, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4145, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4180, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4209, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4281, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4332, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4367, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4388, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4417, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4438, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4489, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4540, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4591, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4642, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4671, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4722, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4773, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.155824, 0.155824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4840, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.154452, 0.154452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.148497, 0.148497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4871, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.147747, 0.147747) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 38, The value 1.800000 of 'nom_voltage' is not the same as the value + 1.600000 of 'voltage' of the default operating_conditions 'ss_1.60_3.00_3.00_100'. (LBDB-721) +Warning: Line 18, Overwrite 'nom_voltage' by default 'voltage' value 1.600000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_ss_1.40v_100C.lib' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1ridW85K/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_ss_3.00v_100C.lib' +Loading db file '/tmp/_pt1rFVYQ6k/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rUd3Fja/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rxHsYU4/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rkNfY03/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rr9Vte7/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1r6FNlee/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rDmto0o/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib' +Loading db file '/tmp/_pt1rOkNBAD/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib' +Loading db file '/tmp/_pt1rpir1XV/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__lsbufhv2lv_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for mgmt_buffers/powergood_check/mprj2_logic_high_lv/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for rstb_level/lvlshiftdown/sky130_fd_sc_hvl__lsbufhv2lv_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69323 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59840. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/nom/caravan.ss.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:20:08 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 2 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ss.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ss_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.ss_test.db' +Information: Elapsed time for lib file writing: 0 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 683 583 +DB-1 Error 11 11 +PARA-040 Warning 321678 320929 +LNK-043 Information 190193 190093 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2811.06 MB +CPU usage for this session: 213 seconds +Elapsed time for this session: 73 seconds +Diagnostics summary: 69 errors, 147 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Failed! +There are setup violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/nom/caravan.ss-global.rpt \ No newline at end of file diff --git a/scripts/logs/caravan/caravan-nom-t-sta.log b/scripts/logs/caravan/caravan-nom-t-sta.log new file mode 100644 index 00000000..99057b3d --- /dev/null +++ b/scripts/logs/caravan/caravan-nom-t-sta.log @@ -0,0 +1,5929 @@ +if {\ + [catch { + ##PT script + # Adding SCL and IO link libraries based on the process corner specified + if {$::env(PROC_CORNER) == "t"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_tt_1.80v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_25C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib \ + " + } elseif {$::env(PROC_CORNER) == "f"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ff_1.95v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_-40C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ff_5.5v_lowhv_5.5v_lv_1.95v_-40C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib \ + " + } elseif {$::env(PROC_CORNER) == "s"} { + set link_path "* $::env(PT_LIB_ROOT)/scs130hd_ss_1.40v_100C.lib \ + $::env(PT_LIB_ROOT)/scs130hvl_ss_3.00v_100C.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib \ + $::env(PDK_ROOT)/$::env(PDK)/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib \ + " + } + + # Reading design netlist + set search_path "$::env(CARAVEL_ROOT)/verilog/gl $::env(MCW_ROOT)/verilog/gl $::env(UPRJ_ROOT)/verilog/gl $::env(PT_LIB_ROOT)" + puts "list of verilog files:" + foreach verilog "[glob $::env(CARAVEL_ROOT)/verilog/gl/*.v] [glob $::env(MCW_ROOT)/verilog/gl/*.v] [glob $::env(UPRJ_ROOT)/verilog/gl/*.v]" { + puts $verilog + read_verilog $verilog + } + + current_design $::env(DESIGN) + link + + # Reading constraints (signoff) + if {$::env(DESIGN) == "mgmt_core_wrapper" | $::env(DESIGN) == "RAM256" | $::env(DESIGN) == "RAM128"} { + read_sdc $::env(MCW_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + } else { + read_sdc $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN)/$::env(DESIGN).sdc + # -filter is supported by PT but not in the read_sdc + # add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners + # apply the constraint to hd cells at the ss corner on caravel/caravan + if {$::env(PROC_CORNER) == "s" & $::env(DESIGN) == "caravel" | $::env(DESIGN) == "caravan" } { + set max_tran 1.5 + puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" + puts "For HD cells in the hierarchy of $::env(DESIGN)" + set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] + set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] + } + } + + # Reading parasitics based on the RC corner specified + proc read_spefs {design rc_corner} { + if {$design == "caravel" | $design == "caravan"} { + set spef_mapping(flash_clkrst_buffers) $::env(CARAVEL_ROOT)/signoff/buff_flash_clkrst/openlane-signoff/buff_flash_clkrst.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + + # add your module name instantiated in user_project_wrapper here + set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_project_example/openlane-signoff/spef/user_project_example.${rc_corner}.spef + + set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/xres_buf.${rc_corner}.spef + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/chip_io.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[2]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[3]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[4]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[5]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + set spef_mapping(padframe/\constant_value_inst[6]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef + + set spef_mapping(\spare_logic[0]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[1]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[2]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + set spef_mapping(\spare_logic[3]) $::env(CARAVEL_ROOT)/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.${rc_corner}.spef + + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + set spef_mapping(pll) $::env(CARAVEL_ROOT)/signoff/digital_pll/openlane-signoff/spef/digital_pll.${rc_corner}.spef + set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef + set spef_mapping(mgmt_buffers) $::env(CARAVEL_ROOT)/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.${rc_corner}.spef + set spef_mapping(mgmt_buffers/powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mgmt_buffers/mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + + set spef_mapping(soc) $::env(MCW_ROOT)/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.${rc_corner}.spef + set spef_mapping(soc/\core.RAM256) $::env(MCW_ROOT)/signoff/RAM256/spef/openlane-signoff/spef/RAM256.${rc_corner}.spef + set spef_mapping(soc/\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/spef/openlane-signoff/spef/RAM128.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]) $::env(CARAVEL_ROOT)/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.${rc_corner}.spef + + set spef_mapping(\gpio_control_bidir_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_bidir_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_1a[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[0]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[10]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[11]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[12]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[13]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[14]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[15]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[1]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[2]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[3]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[4]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[5]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[6]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[7]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[8]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + set spef_mapping(\gpio_control_in_2[9]/gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + + set spef_mapping(gpio_defaults_block_0) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_1) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_1803/openlane-signoff/spef/gpio_defaults_block_1803.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_3) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0801/openlane-signoff/spef/gpio_defaults_block_0801.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_10) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_11) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_12) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_13) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_14) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_15) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_16) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_17) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_18) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_19) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_2) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_20) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_21) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_22) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_23) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_24) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_25) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_26) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_27) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_28) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_29) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_30) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_31) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_32) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_33) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_35) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_36) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_37) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_4) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_5) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_6) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_7) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_8) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + set spef_mapping(gpio_defaults_block_9) $::env(CARAVEL_ROOT)/signoff/gpio_defaults_block_0403/openlane-signoff/spef/gpio_defaults_block_0403.${rc_corner}.spef + + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/chip_io_alt.${rc_corner}.spef + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } + + } elseif {$design == "mgmt_core_wrapper"} { + set spef_mapping(\core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef + set spef_mapping(\core.RAM256) $::env(MCW_ROOT)/signoff/RAM512/openlane-signoff/spef/RAM512.${rc_corner}.spef + } elseif {$design == "gpio_control_block"} { + set spef_mapping(gpio_logic_high) $::env(CARAVEL_ROOT)/signoff/gpio_logic_high/openlane-signoff/spef/gpio_logic_high.${rc_corner}.spef + } elseif {$design == "mgmt_protect"} { + set spef_mapping(powergood_check) $::env(CARAVEL_ROOT)/signoff/mgmt_protect_hv/openlane-signoff/spef/mgmt_protect_hv.${rc_corner}.spef + set spef_mapping(mprj_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj_logic_high/openlane-signoff/spef/mprj_logic_high.${rc_corner}.spef + set spef_mapping(mprj2_logic_high_inst) $::env(CARAVEL_ROOT)/signoff/mprj2_logic_high/openlane-signoff/spef/mprj2_logic_high.${rc_corner}.spef + } + + foreach key [array names spef_mapping] { + read_parasitics -keep_capacitive_coupling -path $key $spef_mapping($key) + } + + if {$design == "mgmt_core_wrapper" | $design == "RAM128" | $design == "RAM256"} { + read_parasitics -keep_capacitive_coupling -verbose $::env(MCW_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } else { + read_parasitics -keep_capacitive_coupling -verbose $::env(CARAVEL_ROOT)/signoff/${design}/openlane-signoff/spef/${design}.${rc_corner}.spef -pin_cap_included + } + + } + + proc report_results {design rc_corner proc_corner} { + report_global_timing -separate_all_groups -significant_digits 4 > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-global.rpt + report_analysis_coverage -significant_digits 4 -nosplit -status_details {untested} > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-coverage.rpt + + report_constraint -all_violators -significant_digits 4 -nosplit > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-all_viol.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-max_timing.rpt + + if {$design == "caravel" | $design == "caravan"} { + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-min_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hk_serial_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hk_serial_clk-max_timing.rpt + + report_timing -delay max -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-max_timing.rpt + + report_timing -delay min -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit -group hkspi_clk \ + -max_paths 10000 -nworst 10 -slack_lesser_than 10 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-hkspi_clk-min_timing.rpt + + report_timing -delay min -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-min_timing.rpt + + report_timing -delay max -through [get_cells soc] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 10 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-soc-max_timing.rpt + + report_case_analysis -nosplit > $::env(OUT_DIR)/reports/${design}.case_analysis.rpt + report_exceptions -nosplit > $::env(OUT_DIR)/reports/${design}.false_paths.rpt + + report_timing -delay min -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-min_timing.rpt + + report_timing -delay max -through [get_cells mprj] -path_type full_clock_expanded -transition_time -capacitance -nets -crosstalk_delta -derate -nosplit \ + -max_paths 10000 -nworst 5 -slack_lesser_than 100 -significant_digits 4 -include_hierarchical_pins > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-mprj-max_timing.rpt + + report_si_bottleneck -significant_digits 4 -nosplit -slack_lesser_than 10 -all_nets > $::env(OUT_DIR)/reports/${rc_corner}/${design}.${proc_corner}${proc_corner}-si_bottleneck.rpt + } + + write_sdf -version 3.0 -significant_digits 4 $::env(OUT_DIR)/sdf/${rc_corner}/${design}.${proc_corner}${proc_corner}.sdf + + # Extract timing model + set extract_model_clock_transition_limit 0.75 + set extract_model_data_transition_limit 0.75 + set_app_var extract_model_capacitance_limit 1.0 + set extract_model_num_capacitance_points 7 + set extract_model_num_clock_transition_points 7 + set extract_model_num_data_transition_points 7 + set extract_model_use_conservative_current_slew true + set extract_model_enable_report_delay_calculation true + set extract_model_with_clock_latency_arcs true + extract_model -output $::env(OUT_DIR)/lib/${rc_corner}/${design}.${proc_corner}${proc_corner} -format {db lib} -test_design + } + + set si_enable_analysis TRUE + read_spefs $::env(DESIGN) $::env(RC_CORNER) + update_timing + report_results $::env(DESIGN) $::env(RC_CORNER) $::env(PROC_CORNER) + + exit + } err] +} { + puts stderr $err + exit 1 +} +list of verilog files: +/home/passant/caravel/verilog/gl/user_id_programming.v +/home/passant/caravel/verilog/gl/gpio_control_block.v +/home/passant/caravel/verilog/gl/mprj_logic_high.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v +/home/passant/caravel/verilog/gl/housekeeping.v +/home/passant/caravel/verilog/gl/caravel_clocking.v +/home/passant/caravel/verilog/gl/chip_io_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v +/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v +/home/passant/caravel/verilog/gl/buff_flash_clkrst.v +/home/passant/caravel/verilog/gl/caravel.v +/home/passant/caravel/verilog/gl/__user_project_wrapper.v +/home/passant/caravel/verilog/gl/caravan.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v +/home/passant/caravel/verilog/gl/gpio_defaults_block.v +/home/passant/caravel/verilog/gl/spare_logic_block.v +/home/passant/caravel/verilog/gl/mprj2_logic_high.v +/home/passant/caravel/verilog/gl/mgmt_protect_hv.v +/home/passant/caravel/verilog/gl/gpio_signal_buffering.v +/home/passant/caravel/verilog/gl/gpio_logic_high.v +/home/passant/caravel/verilog/gl/constant_block.v +/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v +/home/passant/caravel/verilog/gl/mgmt_protect.v +/home/passant/caravel/verilog/gl/xres_buf.v +/home/passant/caravel/verilog/gl/chip_io.v +/home/passant/caravel/verilog/gl/digital_pll.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v +/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v +/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v +Information: current_design won't return any data before link (DES-071) +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' ... +Warning: Line 10, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 10, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 650, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 670, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 690, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002542, 0.002542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 710, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 730, Cell 'sky130_fd_sc_hd__a2111o_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002558, 0.002558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1280, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1300, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1320, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1340, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002636, 0.002636) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1360, Cell 'sky130_fd_sc_hd__a2111o_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004480, 0.004480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1910, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1930, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004772, 0.004772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1950, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004155, 0.004155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1970, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004041, 0.004041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004700, 0.004700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1990, Cell 'sky130_fd_sc_hd__a2111o_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003925, 0.003925) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001938, 0.001938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2540, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2560, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001780, 0.001780) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2580, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002027, 0.002027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2600, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001764, 0.001764) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001911, 0.001911) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2620, Cell 'sky130_fd_sc_hd__a2111oi_0', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001635, 0.001635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002359, 0.002359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3170, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002219, 0.002219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002456, 0.002456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3190, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3210, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002590, 0.002590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3230, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002661, 0.002661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3250, Cell 'sky130_fd_sc_hd__a2111oi_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.015981' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3785, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'Y', The 'values' attribute has a '-0.008111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004981, 0.004981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3800, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3820, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004693, 0.004693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3840, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004035, 0.004035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005098, 0.005098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3860, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004376, 0.004376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004768, 0.004768) will be assigned to the attribute. (LBDB-1054) +Warning: Line 3880, Cell 'sky130_fd_sc_hd__a2111oi_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004039, 0.004039) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.031164' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.024277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4415, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'Y', The 'values' attribute has a '-0.008511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008747, 0.008747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4430, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009158, 0.009158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4450, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009027, 0.009027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4470, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007879, 0.007879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009050, 0.009050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4490, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007722, 0.007722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4510, Cell 'sky130_fd_sc_hd__a2111oi_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007686, 0.007686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4922, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4942, Cell 'sky130_fd_sc_hd__a211o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4962, Cell 'sky130_fd_sc_hd__a211o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002518, 0.002518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4982, Cell 'sky130_fd_sc_hd__a211o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5394, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5414, Cell 'sky130_fd_sc_hd__a211o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002235, 0.002235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5434, Cell 'sky130_fd_sc_hd__a211o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002522, 0.002522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5454, Cell 'sky130_fd_sc_hd__a211o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002134, 0.002134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5866, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005009, 0.005009) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5886, Cell 'sky130_fd_sc_hd__a211o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005208, 0.005208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5906, Cell 'sky130_fd_sc_hd__a211o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004505, 0.004505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004771, 0.004771) will be assigned to the attribute. (LBDB-1054) +Warning: Line 5926, Cell 'sky130_fd_sc_hd__a211o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004086, 0.004086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6338, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6358, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6378, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6398, Cell 'sky130_fd_sc_hd__a211oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002175, 0.002175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.022793' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6795, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'Y', The 'values' attribute has a '-0.009354' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004504, 0.004504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6810, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6830, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004635, 0.004635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6850, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6870, Cell 'sky130_fd_sc_hd__a211oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003990, 0.003990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.050150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.041715' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7267, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'Y', The 'values' attribute has a '-0.022543' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7282, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008120, 0.008120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7302, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008669, 0.008669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009735, 0.009735) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7322, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008492, 0.008492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009248, 0.009248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7342, Cell 'sky130_fd_sc_hd__a211oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007905, 0.007905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7648, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002326, 0.002326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7668, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7688, Cell 'sky130_fd_sc_hd__a21bo_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001658, 0.001658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 7994, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8014, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001383, 0.001383) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8034, Cell 'sky130_fd_sc_hd__a21bo_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001290, 0.001290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8340, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004230, 0.004230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8360, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8380, Cell 'sky130_fd_sc_hd__a21bo_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8686, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001784, 0.001784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8706, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001653, 0.001653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001688, 0.001688) will be assigned to the attribute. (LBDB-1054) +Warning: Line 8726, Cell 'sky130_fd_sc_hd__a21boi_0', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9032, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9052, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9072, Cell 'sky130_fd_sc_hd__a21boi_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9378, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005017, 0.005017) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9398, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9418, Cell 'sky130_fd_sc_hd__a21boi_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008927, 0.008927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9724, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008232, 0.008232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009711, 0.009711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9744, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008759, 0.008759) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002606, 0.002606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 9764, Cell 'sky130_fd_sc_hd__a21boi_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002340, 0.002340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10070, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10090, Cell 'sky130_fd_sc_hd__a21o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002594, 0.002594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10110, Cell 'sky130_fd_sc_hd__a21o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10416, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10436, Cell 'sky130_fd_sc_hd__a21o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10456, Cell 'sky130_fd_sc_hd__a21o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004584, 0.004584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10762, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004216, 0.004216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005029, 0.005029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10782, Cell 'sky130_fd_sc_hd__a21o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004558, 0.004558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004756, 0.004756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 10802, Cell 'sky130_fd_sc_hd__a21o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11108, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11128, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11148, Cell 'sky130_fd_sc_hd__a21oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.045583' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11439, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'Y', The 'values' attribute has a '-0.030379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004606, 0.004606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11454, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005052, 0.005052) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11474, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11494, Cell 'sky130_fd_sc_hd__a21oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.058600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.048449' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11785, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'Y', The 'values' attribute has a '-0.025076' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008917, 0.008917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11800, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008226, 0.008226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009710, 0.009710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11820, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008767, 0.008767) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009227, 0.009227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 11840, Cell 'sky130_fd_sc_hd__a21oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007966, 0.007966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12390, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002496, 0.002496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12410, Cell 'sky130_fd_sc_hd__a221o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12430, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002538, 0.002538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12450, Cell 'sky130_fd_sc_hd__a221o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002195, 0.002195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 12470, Cell 'sky130_fd_sc_hd__a221o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002084, 0.002084) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002425, 0.002425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13020, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002229, 0.002229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13040, Cell 'sky130_fd_sc_hd__a221o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13060, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13080, Cell 'sky130_fd_sc_hd__a221o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002447, 0.002447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13100, Cell 'sky130_fd_sc_hd__a221o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002067, 0.002067) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004660, 0.004660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13650, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13670, Cell 'sky130_fd_sc_hd__a221o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004096, 0.004096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13690, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003999, 0.003999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004598, 0.004598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13710, Cell 'sky130_fd_sc_hd__a221o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003942, 0.003942) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 13730, Cell 'sky130_fd_sc_hd__a221o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003945, 0.003945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14280, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14300, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002183, 0.002183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14320, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14340, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14360, Cell 'sky130_fd_sc_hd__a221oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002077, 0.002077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.013339' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14895, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'Y', The 'values' attribute has a '-0.001996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004479, 0.004479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14910, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004132, 0.004132) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005000, 0.005000) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14930, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004532, 0.004532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14950, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004025, 0.004025) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005071, 0.005071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14970, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004445, 0.004445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004647, 0.004647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 14990, Cell 'sky130_fd_sc_hd__a221oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003938, 0.003938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.031301' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.023484' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15525, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'Y', The 'values' attribute has a '-0.004918' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008779, 0.008779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15540, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008063, 0.008063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009626, 0.009626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15560, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008704, 0.008704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008769, 0.008769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15580, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007834, 0.007834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009413, 0.009413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15600, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008262, 0.008262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009150, 0.009150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 15620, Cell 'sky130_fd_sc_hd__a221oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007685, 0.007685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16372, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16392, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002142, 0.002142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16412, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002126, 0.002126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002460, 0.002460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16432, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002133, 0.002133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16452, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16472, Cell 'sky130_fd_sc_hd__a222oi_1', pin 'C2', The port 'C2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002105, 0.002105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16884, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16904, Cell 'sky130_fd_sc_hd__a22o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16924, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 16944, Cell 'sky130_fd_sc_hd__a22o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17356, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17376, Cell 'sky130_fd_sc_hd__a22o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002506, 0.002506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17396, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17416, Cell 'sky130_fd_sc_hd__a22o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17828, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004146, 0.004146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005032, 0.005032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17848, Cell 'sky130_fd_sc_hd__a22o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004542, 0.004542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004626, 0.004626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17868, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004045, 0.004045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005223, 0.005223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 17888, Cell 'sky130_fd_sc_hd__a22o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004493, 0.004493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18300, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002497, 0.002497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18320, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18340, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002217, 0.002217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18360, Cell 'sky130_fd_sc_hd__a22oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002160, 0.002160) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004403, 0.004403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18772, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004585, 0.004585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18792, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004144, 0.004144) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18812, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 18832, Cell 'sky130_fd_sc_hd__a22oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003956, 0.003956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.014818' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19180, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'Y', The 'values' attribute has a '-0.003589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19244, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009081, 0.009081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19264, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008153, 0.008153) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008795, 0.008795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19284, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007882, 0.007882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009204, 0.009204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19304, Cell 'sky130_fd_sc_hd__a22oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19716, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001348, 0.001348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19736, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001345, 0.001345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19756, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001450, 0.001450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 19776, Cell 'sky130_fd_sc_hd__a2bb2o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20188, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001595, 0.001595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20208, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001795, 0.001795) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20228, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001657, 0.001657) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20248, Cell 'sky130_fd_sc_hd__a2bb2o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005141, 0.005141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20660, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004658, 0.004658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004710, 0.004710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20680, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004143, 0.004143) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005002, 0.005002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20700, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004535, 0.004535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004539, 0.004539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 20720, Cell 'sky130_fd_sc_hd__a2bb2o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004182, 0.004182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21132, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002647, 0.002647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21152, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21172, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21192, Cell 'sky130_fd_sc_hd__a2bb2oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002343, 0.002343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004857, 0.004857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21604, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004289, 0.004289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004753, 0.004753) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21624, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004115, 0.004115) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005027, 0.005027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21644, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004572, 0.004572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 21664, Cell 'sky130_fd_sc_hd__a2bb2oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004177, 0.004177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009229, 0.009229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22076, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008295, 0.008295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009424, 0.009424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22096, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008086, 0.008086) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009633, 0.009633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22116, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008728, 0.008728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008848, 0.008848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22136, Cell 'sky130_fd_sc_hd__a2bb2oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22686, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002190, 0.002190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22706, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22726, Cell 'sky130_fd_sc_hd__a311o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22746, Cell 'sky130_fd_sc_hd__a311o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002162, 0.002162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 22766, Cell 'sky130_fd_sc_hd__a311o_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002060, 0.002060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23316, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002406, 0.002406) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23336, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002204, 0.002204) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23356, Cell 'sky130_fd_sc_hd__a311o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002201, 0.002201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002434, 0.002434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23376, Cell 'sky130_fd_sc_hd__a311o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002108, 0.002108) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23396, Cell 'sky130_fd_sc_hd__a311o_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004379, 0.004379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23946, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004109, 0.004109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004489, 0.004489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23966, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004148, 0.004148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004649, 0.004649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23986, Cell 'sky130_fd_sc_hd__a311o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004111, 0.004111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24006, Cell 'sky130_fd_sc_hd__a311o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003929, 0.003929) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004646, 0.004646) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24026, Cell 'sky130_fd_sc_hd__a311o_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003883, 0.003883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24576, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002422, 0.002422) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24596, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002261, 0.002261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24616, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24636, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24656, Cell 'sky130_fd_sc_hd__a311oi_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002100, 0.002100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25191, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'Y', The 'values' attribute has a '-0.012319' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004512, 0.004512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25206, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004468, 0.004468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25226, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004582, 0.004582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25246, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004614, 0.004614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25266, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004015, 0.004015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25286, Cell 'sky130_fd_sc_hd__a311oi_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003961, 0.003961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.038276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.030533' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25821, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'Y', The 'values' attribute has a '-0.012158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008647, 0.008647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25836, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008215, 0.008215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008710, 0.008710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25856, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008134, 0.008134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009046, 0.009046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25876, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008163, 0.008163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008901, 0.008901) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25896, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007691, 0.007691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009218, 0.009218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25916, Cell 'sky130_fd_sc_hd__a311oi_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007689, 0.007689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002420, 0.002420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26328, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26348, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26368, Cell 'sky130_fd_sc_hd__a31o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26388, Cell 'sky130_fd_sc_hd__a31o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002152, 0.002152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002458, 0.002458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26800, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26820, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26840, Cell 'sky130_fd_sc_hd__a31o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26860, Cell 'sky130_fd_sc_hd__a31o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002147, 0.002147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004454, 0.004454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27272, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004100, 0.004100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004941, 0.004941) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27292, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004543, 0.004543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.005154, 0.005154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27312, Cell 'sky130_fd_sc_hd__a31o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004679, 0.004679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004814, 0.004814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27332, Cell 'sky130_fd_sc_hd__a31o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27729, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'Y', The 'values' attribute has a '-0.000618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27744, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27764, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002338, 0.002338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27784, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002448, 0.002448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27804, Cell 'sky130_fd_sc_hd__a31oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002122, 0.002122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.025260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28201, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'Y', The 'values' attribute has a '-0.010609' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004562, 0.004562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28216, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004340, 0.004340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004519, 0.004519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28236, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004655, 0.004655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28256, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004708, 0.004708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28276, Cell 'sky130_fd_sc_hd__a31oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004076, 0.004076) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.055740' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.045831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28673, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'Y', The 'values' attribute has a '-0.022251' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008658, 0.008658) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28688, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008186, 0.008186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008725, 0.008725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28708, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008109, 0.008109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009082, 0.009082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28728, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008180, 0.008180) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009156, 0.009156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28748, Cell 'sky130_fd_sc_hd__a31oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007865, 0.007865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29298, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29318, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29338, Cell 'sky130_fd_sc_hd__a32o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002213, 0.002213) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29358, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hd__a32o_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002109, 0.002109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29928, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29948, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29968, Cell 'sky130_fd_sc_hd__a32o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002188, 0.002188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29988, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002656, 0.002656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30008, Cell 'sky130_fd_sc_hd__a32o_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004441, 0.004441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30558, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004103, 0.004103) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30578, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004099, 0.004099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004739, 0.004739) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30598, Cell 'sky130_fd_sc_hd__a32o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004639, 0.004639) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30618, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004612, 0.004612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30638, Cell 'sky130_fd_sc_hd__a32o_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003949, 0.003949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002370, 0.002370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31188, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002441, 0.002441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31208, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31228, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002462, 0.002462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31248, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31268, Cell 'sky130_fd_sc_hd__a32oi_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002149, 0.002149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31754, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'Y', The 'values' attribute has a '-0.001095' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31818, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004475, 0.004475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31838, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004730, 0.004730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31858, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004238, 0.004238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004456, 0.004456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31878, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003991, 0.003991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31898, Cell 'sky130_fd_sc_hd__a32oi_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003983, 0.003983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.013524' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32384, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'Y', The 'values' attribute has a '-0.002596' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008539, 0.008539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32448, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008118, 0.008118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008536, 0.008536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32468, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007916, 0.007916) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008978, 0.008978) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32488, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.008034, 0.008034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008732, 0.008732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32508, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007754, 0.007754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32528, Cell 'sky130_fd_sc_hd__a32oi_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007793, 0.007793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002374, 0.002374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33078, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002445, 0.002445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33098, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33118, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33138, Cell 'sky130_fd_sc_hd__a41o_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002603, 0.002603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33158, Cell 'sky130_fd_sc_hd__a41o_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002339, 0.002339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33708, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33728, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33748, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002489, 0.002489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33768, Cell 'sky130_fd_sc_hd__a41o_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002514, 0.002514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33788, Cell 'sky130_fd_sc_hd__a41o_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004328, 0.004328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34338, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004095, 0.004095) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004362, 0.004362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34358, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004082, 0.004082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004565, 0.004565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34378, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004228, 0.004228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34398, Cell 'sky130_fd_sc_hd__a41o_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004164, 0.004164) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004896, 0.004896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34418, Cell 'sky130_fd_sc_hd__a41o_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34968, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34988, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35008, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002241, 0.002241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35028, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35048, Cell 'sky130_fd_sc_hd__a41oi_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002167, 0.002167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.018457' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35583, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'Y', The 'values' attribute has a '-0.001893' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004298, 0.004298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35598, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004106, 0.004106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004344, 0.004344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35618, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004092, 0.004092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35638, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004250, 0.004250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35658, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.004201, 0.004201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004821, 0.004821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35678, Cell 'sky130_fd_sc_hd__a41oi_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004133, 0.004133) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.047026' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.037099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36213, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'Y', The 'values' attribute has a '-0.013469' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008512, 0.008512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36228, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008127, 0.008127) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008566, 0.008566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36248, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.008126, 0.008126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008640, 0.008640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36268, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007928, 0.007928) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.008986, 0.008986) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36288, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.008071, 0.008071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009175, 0.009175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36308, Cell 'sky130_fd_sc_hd__a41oi_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007784, 0.007784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001637, 0.001637) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36524, Cell 'sky130_fd_sc_hd__and2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36544, Cell 'sky130_fd_sc_hd__and2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36760, Cell 'sky130_fd_sc_hd__and2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36780, Cell 'sky130_fd_sc_hd__and2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001483, 0.001483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 36996, Cell 'sky130_fd_sc_hd__and2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001418, 0.001418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37016, Cell 'sky130_fd_sc_hd__and2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001403, 0.001403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002399, 0.002399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37232, Cell 'sky130_fd_sc_hd__and2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002559, 0.002559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37252, Cell 'sky130_fd_sc_hd__and2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37468, Cell 'sky130_fd_sc_hd__and2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37488, Cell 'sky130_fd_sc_hd__and2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001614, 0.001614) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37704, Cell 'sky130_fd_sc_hd__and2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001689, 0.001689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37724, Cell 'sky130_fd_sc_hd__and2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001511, 0.001511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37940, Cell 'sky130_fd_sc_hd__and2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002604, 0.002604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 37960, Cell 'sky130_fd_sc_hd__and2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38266, Cell 'sky130_fd_sc_hd__and3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001453, 0.001453) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38286, Cell 'sky130_fd_sc_hd__and3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38306, Cell 'sky130_fd_sc_hd__and3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001488, 0.001488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38612, Cell 'sky130_fd_sc_hd__and3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001404, 0.001404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001535, 0.001535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38632, Cell 'sky130_fd_sc_hd__and3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38652, Cell 'sky130_fd_sc_hd__and3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38958, Cell 'sky130_fd_sc_hd__and3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002404, 0.002404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38978, Cell 'sky130_fd_sc_hd__and3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 38998, Cell 'sky130_fd_sc_hd__and3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002274, 0.002274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001578, 0.001578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39304, Cell 'sky130_fd_sc_hd__and3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001485, 0.001485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001550, 0.001550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39324, Cell 'sky130_fd_sc_hd__and3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001490, 0.001490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001617, 0.001617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39344, Cell 'sky130_fd_sc_hd__and3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001479, 0.001479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39650, Cell 'sky130_fd_sc_hd__and3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39670, Cell 'sky130_fd_sc_hd__and3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001584, 0.001584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39690, Cell 'sky130_fd_sc_hd__and3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001641, 0.001641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 39996, Cell 'sky130_fd_sc_hd__and3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001513, 0.001513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40016, Cell 'sky130_fd_sc_hd__and3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002263, 0.002263) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40036, Cell 'sky130_fd_sc_hd__and3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40448, Cell 'sky130_fd_sc_hd__and4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40468, Cell 'sky130_fd_sc_hd__and4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001522, 0.001522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40488, Cell 'sky130_fd_sc_hd__and4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40508, Cell 'sky130_fd_sc_hd__and4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001508, 0.001508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40920, Cell 'sky130_fd_sc_hd__and4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40940, Cell 'sky130_fd_sc_hd__and4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40960, Cell 'sky130_fd_sc_hd__and4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001489, 0.001489) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001593, 0.001593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 40980, Cell 'sky130_fd_sc_hd__and4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001481, 0.001481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002397, 0.002397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41392, Cell 'sky130_fd_sc_hd__and4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41412, Cell 'sky130_fd_sc_hd__and4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41432, Cell 'sky130_fd_sc_hd__and4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41452, Cell 'sky130_fd_sc_hd__and4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001651, 0.001651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41864, Cell 'sky130_fd_sc_hd__and4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001603, 0.001603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41884, Cell 'sky130_fd_sc_hd__and4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41904, Cell 'sky130_fd_sc_hd__and4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001656, 0.001656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 41924, Cell 'sky130_fd_sc_hd__and4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001532, 0.001532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001588, 0.001588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42336, Cell 'sky130_fd_sc_hd__and4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001592, 0.001592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42356, Cell 'sky130_fd_sc_hd__and4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42376, Cell 'sky130_fd_sc_hd__and4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001530, 0.001530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42396, Cell 'sky130_fd_sc_hd__and4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001499, 0.001499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42808, Cell 'sky130_fd_sc_hd__and4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002376, 0.002376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42828, Cell 'sky130_fd_sc_hd__and4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42848, Cell 'sky130_fd_sc_hd__and4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 42868, Cell 'sky130_fd_sc_hd__and4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43280, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43300, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001515, 0.001515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43320, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43340, Cell 'sky130_fd_sc_hd__and4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43752, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001554, 0.001554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43772, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43792, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001566, 0.001566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 43812, Cell 'sky130_fd_sc_hd__and4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001474, 0.001474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44224, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001428, 0.001428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001605, 0.001605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44244, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002465, 0.002465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44264, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002539, 0.002539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44284, Cell 'sky130_fd_sc_hd__and4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002015, 0.002015) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44418, Cell 'sky130_fd_sc_hd__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001807, 0.001807) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001647, 0.001647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44542, Cell 'sky130_fd_sc_hd__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44666, Cell 'sky130_fd_sc_hd__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004380, 0.004380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44790, Cell 'sky130_fd_sc_hd__buf_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 44914, Cell 'sky130_fd_sc_hd__bufbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45038, Cell 'sky130_fd_sc_hd__bufbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007156, 0.007156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006413, 0.006413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45162, Cell 'sky130_fd_sc_hd__bufinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45286, Cell 'sky130_fd_sc_hd__bufinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45410, Cell 'sky130_fd_sc_hd__clkbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007894, 0.007894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006900, 0.006900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45534, Cell 'sky130_fd_sc_hd__clkbuf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002065, 0.002065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45658, Cell 'sky130_fd_sc_hd__clkbuf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45782, Cell 'sky130_fd_sc_hd__clkbuf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004157, 0.004157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 45906, Cell 'sky130_fd_sc_hd__clkbuf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002088, 0.002088) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46030, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002089, 0.002089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46154, Cell 'sky130_fd_sc_hd__clkdlybuf4s15_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002092, 0.002092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46278, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46402, Cell 'sky130_fd_sc_hd__clkdlybuf4s18_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46526, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002327, 0.002327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002091, 0.002091) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46650, Cell 'sky130_fd_sc_hd__clkdlybuf4s25_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002055, 0.002055) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46774, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002048, 0.002048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 46898, Cell 'sky130_fd_sc_hd__clkdlybuf4s50_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003251, 0.003251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002903, 0.002903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47022, Cell 'sky130_fd_sc_hd__clkinv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040395, 0.040395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035097, 0.035097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47146, Cell 'sky130_fd_sc_hd__clkinv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005516, 0.005516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004832, 0.004832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47270, Cell 'sky130_fd_sc_hd__clkinv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010900, 0.010900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009536, 0.009536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47394, Cell 'sky130_fd_sc_hd__clkinv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021581, 0.021581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.018840, 0.018840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47518, Cell 'sky130_fd_sc_hd__clkinv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004881, 0.004881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004181, 0.004181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47642, Cell 'sky130_fd_sc_hd__clkinvlp_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009398, 0.009398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007975, 0.007975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 47766, Cell 'sky130_fd_sc_hd__clkinvlp_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 47777, Cell 'sky130_fd_sc_hd__conb_1', No internal_power information for the 'sky130_fd_sc_hd__conb_1' cell. (LBDB-301) +Warning: Line 47797, Cell 'sky130_fd_sc_hd__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 47806, Cell 'sky130_fd_sc_hd__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', No internal_power information for the 'sky130_fd_sc_hd__decap_12' cell. (LBDB-301) +Information: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', No internal_power information for the 'sky130_fd_sc_hd__decap_3' cell. (LBDB-301) +Information: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', No internal_power information for the 'sky130_fd_sc_hd__decap_4' cell. (LBDB-301) +Information: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', No internal_power information for the 'sky130_fd_sc_hd__decap_6' cell. (LBDB-301) +Information: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', No internal_power information for the 'sky130_fd_sc_hd__decap_8' cell. (LBDB-301) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003556, 0.003556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48031, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003378, 0.003378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48082, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48115, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48153, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001847, 0.001847) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48199, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48211, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48234, Cell 'sky130_fd_sc_hd__dfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001542, 0.001542) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48807, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48858, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48891, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001537, 0.001537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48929, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001866, 0.001866) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48975, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001728, 0.001728) will be assigned to the attribute. (LBDB-1054) +Warning: Line 48987, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49010, Cell 'sky130_fd_sc_hd__dfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001538, 0.001538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003527, 0.003527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49583, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003349, 0.003349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49634, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49667, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001528, 0.001528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49705, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49751, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49763, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 49786, Cell 'sky130_fd_sc_hd__dfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003619, 0.003619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50324, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003495, 0.003495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50362, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50381, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50393, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50416, Cell 'sky130_fd_sc_hd__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003609, 0.003609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50806, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003491, 0.003491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50844, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001874, 0.001874) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50863, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50875, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 50898, Cell 'sky130_fd_sc_hd__dfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003627, 0.003627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51288, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003493, 0.003493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51326, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51345, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51357, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002112, 0.002112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51380, Cell 'sky130_fd_sc_hd__dfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003632, 0.003632) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51643, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51681, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001871, 0.001871) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51700, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51712, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51735, Cell 'sky130_fd_sc_hd__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003612, 0.003612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 51998, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003595, 0.003595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52036, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52055, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52067, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52090, Cell 'sky130_fd_sc_hd__dfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003638, 0.003638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52353, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003484, 0.003484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52391, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52410, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52422, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002006, 0.002006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52445, Cell 'sky130_fd_sc_hd__dfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52708, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52720, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 52743, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003424, 0.003424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53057, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003337, 0.003337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53095, Cell 'sky130_fd_sc_hd__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001852, 0.001852) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53190, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53202, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53225, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003437, 0.003437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53539, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53577, Cell 'sky130_fd_sc_hd__dfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53672, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53684, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53707, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003439, 0.003439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53894, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 53932, Cell 'sky130_fd_sc_hd__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001876, 0.001876) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54027, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54039, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54062, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003420, 0.003420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54249, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003305, 0.003305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54287, Cell 'sky130_fd_sc_hd__dfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54382, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54394, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54417, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003419, 0.003419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54604, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003300, 0.003300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54642, Cell 'sky130_fd_sc_hd__dfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54720, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001702, 0.001702) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54732, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 54755, Cell 'sky130_fd_sc_hd__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001865, 0.001865) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55040, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55052, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55075, Cell 'sky130_fd_sc_hd__dfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55360, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001712, 0.001712) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55372, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55395, Cell 'sky130_fd_sc_hd__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001877, 0.001877) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55597, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55609, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55632, Cell 'sky130_fd_sc_hd__dfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55834, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55846, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001597, 0.001597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 55869, Cell 'sky130_fd_sc_hd__dfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001509, 0.001509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'rise_capacitance_range' specified. The value (0.000900, 0.000900) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56043, Cell 'sky130_fd_sc_hd__diode_2', pin 'DIODE', The port 'DIODE' does not have the attribute 'fall_capacitance_range' specified. The value (0.000857, 0.000857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56115, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56205, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56218, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56237, Cell 'sky130_fd_sc_hd__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56351, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004273, 0.004273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56441, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003992, 0.003992) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56454, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001756, 0.001756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56473, Cell 'sky130_fd_sc_hd__dlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56587, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005075, 0.005075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56677, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004680, 0.004680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56690, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56709, Cell 'sky130_fd_sc_hd__dlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002598, 0.002598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56838, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002332, 0.002332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56876, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56895, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56955, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001684, 0.001684) will be assigned to the attribute. (LBDB-1054) +Warning: Line 56967, Cell 'sky130_fd_sc_hd__dlrbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002596, 0.002596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57516, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57554, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57573, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57633, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 57645, Cell 'sky130_fd_sc_hd__dlrbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002563, 0.002563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58194, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58232, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58251, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001854, 0.001854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58311, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001692, 0.001692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58323, Cell 'sky130_fd_sc_hd__dlrbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002574, 0.002574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58872, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58910, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001881, 0.001881) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58929, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001849, 0.001849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 58989, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001694, 0.001694) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59001, Cell 'sky130_fd_sc_hd__dlrbp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002652, 0.002652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59550, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59588, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59607, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59667, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59679, Cell 'sky130_fd_sc_hd__dlrtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 59997, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60035, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60054, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60114, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60126, Cell 'sky130_fd_sc_hd__dlrtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60444, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60482, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60501, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60561, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60573, Cell 'sky130_fd_sc_hd__dlrtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60891, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002280, 0.002280) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60929, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001868, 0.001868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 60948, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001714, 0.001714) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001831, 0.001831) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61008, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001673, 0.001673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61020, Cell 'sky130_fd_sc_hd__dlrtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61338, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61376, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61395, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001830, 0.001830) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61455, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001701, 0.001701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61467, Cell 'sky130_fd_sc_hd__dlrtp_2', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61785, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61823, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61842, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61902, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 61914, Cell 'sky130_fd_sc_hd__dlrtp_4', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001882, 0.001882) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62215, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001697, 0.001697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001838, 0.001838) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62275, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001691, 0.001691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62287, Cell 'sky130_fd_sc_hd__dlxbn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62671, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001840, 0.001840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62731, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 62743, Cell 'sky130_fd_sc_hd__dlxbn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001897, 0.001897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63127, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001833, 0.001833) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63187, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001679, 0.001679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63199, Cell 'sky130_fd_sc_hd__dlxbp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001889, 0.001889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63583, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001824, 0.001824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63643, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63655, Cell 'sky130_fd_sc_hd__dlxtn_1', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001880, 0.001880) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63882, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63942, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 63954, Cell 'sky130_fd_sc_hd__dlxtn_2', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001879, 0.001879) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64181, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64241, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The port 'GATE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64253, Cell 'sky130_fd_sc_hd__dlxtn_4', pin 'GATE_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001850, 0.001850) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64480, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001822, 0.001822) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64540, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001680, 0.001680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64552, Cell 'sky130_fd_sc_hd__dlxtp_1', pin 'GATE', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001721, 0.001721) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001569, 0.001569) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64842, Cell 'sky130_fd_sc_hd__dlygate4sd1_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001754, 0.001754) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 64966, Cell 'sky130_fd_sc_hd__dlygate4sd2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001558, 0.001558) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65090, Cell 'sky130_fd_sc_hd__dlygate4sd3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001587, 0.001587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65214, Cell 'sky130_fd_sc_hd__dlymetal6s2s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001585, 0.001585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65338, Cell 'sky130_fd_sc_hd__dlymetal6s4s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001586, 0.001586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65462, Cell 'sky130_fd_sc_hd__dlymetal6s6s_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006422' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006365' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006326' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.006008' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058569' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65723, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'Z', The 'values' attribute has a '-0.058522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001885, 0.001885) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65730, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003338, 0.003338) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002930, 0.002930) will be assigned to the attribute. (LBDB-1054) +Warning: Line 65750, Cell 'sky130_fd_sc_hd__ebufn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.023197' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.002192' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.103743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66011, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'Z', The 'values' attribute has a '-0.060899' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001893, 0.001893) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66018, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001744, 0.001744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004410, 0.004410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003755, 0.003755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66038, Cell 'sky130_fd_sc_hd__ebufn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.016831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.014335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.005712' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.004933' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.094351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.088057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070743' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66299, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'Z', The 'values' attribute has a '-0.070548' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66306, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002373, 0.002373) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007476, 0.007476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006259, 0.006259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66326, Cell 'sky130_fd_sc_hd__ebufn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.000497' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66587, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'Z', The 'values' attribute has a '-0.068189' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66594, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004254, 0.004254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011596, 0.011596) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009482, 0.009482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66614, Cell 'sky130_fd_sc_hd__ebufn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001848, 0.001848) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66881, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66893, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66916, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001717, 0.001717) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003407, 0.003407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 66976, Cell 'sky130_fd_sc_hd__edfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001841, 0.001841) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67210, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67222, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001890, 0.001890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67245, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67305, Cell 'sky130_fd_sc_hd__edfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67404, Cell 'sky130_fd_sc_hd__einvn_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001733, 0.001733) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002642, 0.002642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002324, 0.002324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67424, Cell 'sky130_fd_sc_hd__einvn_0', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021782' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.021747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083387' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083347' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67646, Cell 'sky130_fd_sc_hd__einvn_0', pin 'Z', The 'values' attribute has a '-0.083324' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002515, 0.002515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67692, Cell 'sky130_fd_sc_hd__einvn_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003229, 0.003229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002778, 0.002778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67712, Cell 'sky130_fd_sc_hd__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.020099' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.019598' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.089071' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088618' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67934, Cell 'sky130_fd_sc_hd__einvn_1', pin 'Z', The 'values' attribute has a '-0.088513' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 67980, Cell 'sky130_fd_sc_hd__einvn_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004044, 0.004044) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004314, 0.004314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003692, 0.003692) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68000, Cell 'sky130_fd_sc_hd__einvn_2', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68132, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.014655' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.039984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68222, Cell 'sky130_fd_sc_hd__einvn_2', pin 'Z', The 'values' attribute has a '-0.038350' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008655, 0.008655) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68268, Cell 'sky130_fd_sc_hd__einvn_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007798, 0.007798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007284, 0.007284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006081, 0.006081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68288, Cell 'sky130_fd_sc_hd__einvn_4', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.048815' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.034621' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68420, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.000559' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.012612' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.010011' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006450' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006425' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.006141' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.005340' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.081167' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.076063' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68510, Cell 'sky130_fd_sc_hd__einvn_4', pin 'Z', The 'values' attribute has a '-0.065832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017495, 0.017495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68556, Cell 'sky130_fd_sc_hd__einvn_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015744, 0.015744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.011257, 0.011257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The port 'TE_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.009174, 0.009174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68576, Cell 'sky130_fd_sc_hd__einvn_8', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.055534' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.045856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68708, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.020443' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68798, Cell 'sky130_fd_sc_hd__einvn_8', pin 'Z', The 'values' attribute has a '-0.058855' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002528, 0.002528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68844, Cell 'sky130_fd_sc_hd__einvp_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 68864, Cell 'sky130_fd_sc_hd__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69045, Cell 'sky130_fd_sc_hd__einvp_1', pin 'Z', The 'values' attribute has a '-0.001195' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004529, 0.004529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69132, Cell 'sky130_fd_sc_hd__einvp_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004105, 0.004105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003571, 0.003571) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69152, Cell 'sky130_fd_sc_hd__einvp_2', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69284, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.017261' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.038353' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69333, Cell 'sky130_fd_sc_hd__einvp_2', pin 'Z', The 'values' attribute has a '-0.015109' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008888, 0.008888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69420, Cell 'sky130_fd_sc_hd__einvp_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008008, 0.008008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005896, 0.005896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.006151, 0.006151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69440, Cell 'sky130_fd_sc_hd__einvp_4', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.043313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69572, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.028277' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.064556' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.049242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69621, Cell 'sky130_fd_sc_hd__einvp_4', pin 'Z', The 'values' attribute has a '-0.014094' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017385, 0.017385) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69708, Cell 'sky130_fd_sc_hd__einvp_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.015686, 0.015686) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The port 'TE' does not have the attribute 'fall_capacitance_range' specified. The value (0.009420, 0.009420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 69728, Cell 'sky130_fd_sc_hd__einvp_8', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.051780' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.041999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69860, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.015286' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.070858' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.061342' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 69909, Cell 'sky130_fd_sc_hd__einvp_8', pin 'Z', The 'values' attribute has a '-0.035868' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006896, 0.006896) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006561, 0.006561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70621, Cell 'sky130_fd_sc_hd__fa_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006181, 0.006181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005872, 0.005872) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70631, Cell 'sky130_fd_sc_hd__fa_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004463, 0.004463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 70641, Cell 'sky130_fd_sc_hd__fa_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007445, 0.007445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71315, Cell 'sky130_fd_sc_hd__fa_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007176, 0.007176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006732, 0.006732) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71325, Cell 'sky130_fd_sc_hd__fa_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005159, 0.005159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005020, 0.005020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 71335, Cell 'sky130_fd_sc_hd__fa_2', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007951, 0.007951) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.007450, 0.007450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72009, Cell 'sky130_fd_sc_hd__fa_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007199, 0.007199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006756, 0.006756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72019, Cell 'sky130_fd_sc_hd__fa_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.005033, 0.005033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72029, Cell 'sky130_fd_sc_hd__fa_4', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004923, 0.004923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004473, 0.004473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72703, Cell 'sky130_fd_sc_hd__fah_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006956, 0.006956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006450, 0.006450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72713, Cell 'sky130_fd_sc_hd__fah_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 72723, Cell 'sky130_fd_sc_hd__fah_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73397, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.006689, 0.006689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.006176, 0.006176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73407, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'rise_capacitance_range' specified. The value (0.004960, 0.004960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The port 'CIN' does not have the attribute 'fall_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 73417, Cell 'sky130_fd_sc_hd__fahcin_1', pin 'CIN', The pin 'CIN' does not have a internal_power group. (LBDB-607) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74091, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008812, 0.008812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008072, 0.008072) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74101, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'rise_capacitance_range' specified. The value (0.004940, 0.004940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The port 'CI' does not have the attribute 'fall_capacitance_range' specified. The value (0.004472, 0.004472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74111, Cell 'sky130_fd_sc_hd__fahcon_1', pin 'CI', The pin 'CI' does not have a internal_power group. (LBDB-607) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003105, 0.003105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74572, Cell 'sky130_fd_sc_hd__ha_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002832, 0.002832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002844, 0.002844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 74582, Cell 'sky130_fd_sc_hd__ha_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003604, 0.003604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003355, 0.003355) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75043, Cell 'sky130_fd_sc_hd__ha_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003282, 0.003282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003272, 0.003272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75053, Cell 'sky130_fd_sc_hd__ha_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009705, 0.009705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008914, 0.008914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75514, Cell 'sky130_fd_sc_hd__ha_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008100, 0.008100) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008024, 0.008024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75524, Cell 'sky130_fd_sc_hd__ha_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002390, 0.002390) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75648, Cell 'sky130_fd_sc_hd__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.005217' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.000923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.081672' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.075048' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75757, Cell 'sky130_fd_sc_hd__inv_12', pin 'Y', The 'values' attribute has a '-0.053420' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.027227, 0.027227) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.024796, 0.024796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75772, Cell 'sky130_fd_sc_hd__inv_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.003564' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.080721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.075057' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.056606' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75881, Cell 'sky130_fd_sc_hd__inv_16', pin 'Y', The 'values' attribute has a '-0.000914' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.034999, 0.034999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.031884, 0.031884) will be assigned to the attribute. (LBDB-1054) +Warning: Line 75896, Cell 'sky130_fd_sc_hd__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.027560' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76005, Cell 'sky130_fd_sc_hd__inv_2', pin 'Y', The 'values' attribute has a '-0.005393' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76020, Cell 'sky130_fd_sc_hd__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.053742' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.039839' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76129, Cell 'sky130_fd_sc_hd__inv_4', pin 'Y', The 'values' attribute has a '-0.003689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009408, 0.009408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008600, 0.008600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76144, Cell 'sky130_fd_sc_hd__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.002576' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.071734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.060923' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76253, Cell 'sky130_fd_sc_hd__inv_6', pin 'Y', The 'values' attribute has a '-0.030405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.013888, 0.013888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012656, 0.012656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76268, Cell 'sky130_fd_sc_hd__inv_6', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.002589' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.072666' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.063999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76377, Cell 'sky130_fd_sc_hd__inv_8', pin 'Y', The 'values' attribute has a '-0.037824' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018467, 0.018467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76392, Cell 'sky130_fd_sc_hd__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'rise_capacitance_range' specified. The value (0.002138, 0.002138) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76433, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', pin 'SHORT', The port 'SHORT' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76571, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006955, 0.006955) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76700, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002056, 0.002056) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76829, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001993, 0.001993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 76958, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004156, 0.004156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003680, 0.003680) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77087, Cell 'sky130_fd_sc_hd__lpflow_clkbufkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002842, 0.002842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77216, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.040723, 0.040723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.035810, 0.035810) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77345, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005526, 0.005526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004849, 0.004849) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77474, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.010924, 0.010924) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.009576, 0.009576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77603, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.021645, 0.021645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.019033, 0.019033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77732, Cell 'sky130_fd_sc_hd__lpflow_clkinvkapwr_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_12' cell. (LBDB-301) +Information: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_3' cell. (LBDB-301) +Information: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_4' cell. (LBDB-301) +Information: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_6' cell. (LBDB-301) +Information: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', No internal_power information for the 'sky130_fd_sc_hd__lpflow_decapkapwr_8' cell. (LBDB-301) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77868, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001560, 0.001560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77907, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 77928, Cell 'sky130_fd_sc_hd__lpflow_inputiso0n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78107, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso0p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001620, 0.001620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78146, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001710, 0.001710) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78167, Cell 'sky130_fd_sc_hd__lpflow_inputiso0p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78346, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1n_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78526, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78547, Cell 'sky130_fd_sc_hd__lpflow_inputiso1n_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78585, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', is missing 'sky130_fd_sc_hd__lpflow_inputiso1p_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78765, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78786, Cell 'sky130_fd_sc_hd__lpflow_inputiso1p_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 78824, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', is missing 'sky130_fd_sc_hd__lpflow_inputisolatch_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79016, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001564, 0.001564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001731, 0.001731) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79077, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79090, Cell 'sky130_fd_sc_hd__lpflow_inputisolatch_1', pin 'SLEEP_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79126, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_1' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79322, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79343, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_1', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79365, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.066967' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.062734' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.049776' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79546, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'X', The 'values' attribute has a '-0.013577' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009203, 0.009203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79561, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.034647, 0.034647) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79582, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.029999, 0.029999) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79604, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_2' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79800, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79821, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_2', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 79843, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_4' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80039, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80060, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_4', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80082, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', is missing 'sky130_fd_sc_hd__lpflow_isobufsrc_8' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.071276' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.064590' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80263, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'X', The 'values' attribute has a '-0.046385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80278, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004401, 0.004401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.017977, 0.017977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80299, Cell 'sky130_fd_sc_hd__lpflow_isobufsrc_8', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.015617, 0.015617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', is missing 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16' pg_pin, so it will become a black-box cell for multivoltage functional optimization flow. It is being marked dont_touch, dont_use. (LBDB-913) +Warning: Line 80321, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', related_power_pin on input pin and related_power_pin on output pin + have same power rail value but different rail name. (LBDB-747) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002475, 0.002475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80522, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'rise_capacitance_range' specified. The value (0.009165, 0.009165) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80543, Cell 'sky130_fd_sc_hd__lpflow_isobufsrckapwr_16', pin 'SLEEP', The port 'SLEEP' does not have the attribute 'fall_capacitance_range' specified. The value (0.008286, 0.008286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005990, 0.005990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80688, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006037, 0.006037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80819, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006058, 0.006058) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 80950, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005975, 0.005975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81081, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 81094, Cell 'sky130_fd_sc_hd__macro_sparecell', No internal_power information for the 'sky130_fd_sc_hd__macro_sparecell' cell. (LBDB-301) +Warning: Line 81114, Cell 'sky130_fd_sc_hd__macro_sparecell', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002821, 0.002821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81409, Cell 'sky130_fd_sc_hd__maj3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002659, 0.002659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81429, Cell 'sky130_fd_sc_hd__maj3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002416, 0.002416) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003145, 0.003145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81449, Cell 'sky130_fd_sc_hd__maj3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002959, 0.002959) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003365, 0.003365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81755, Cell 'sky130_fd_sc_hd__maj3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.003126, 0.003126) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003157, 0.003157) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81775, Cell 'sky130_fd_sc_hd__maj3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002819, 0.002819) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003863, 0.003863) will be assigned to the attribute. (LBDB-1054) +Warning: Line 81795, Cell 'sky130_fd_sc_hd__maj3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003573, 0.003573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004563, 0.004563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82101, Cell 'sky130_fd_sc_hd__maj3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004192, 0.004192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004404, 0.004404) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82121, Cell 'sky130_fd_sc_hd__maj3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003870, 0.003870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.005175, 0.005175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82141, Cell 'sky130_fd_sc_hd__maj3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82496, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001505, 0.001505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82516, Cell 'sky130_fd_sc_hd__mux2_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001805, 0.001805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003520, 0.003520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82536, Cell 'sky130_fd_sc_hd__mux2_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003285, 0.003285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001965, 0.001965) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82891, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001790, 0.001790) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001785, 0.001785) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82911, Cell 'sky130_fd_sc_hd__mux2_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001623, 0.001623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 82931, Cell 'sky130_fd_sc_hd__mux2_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.003078, 0.003078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83286, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002130, 0.002130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002419, 0.002419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83306, Cell 'sky130_fd_sc_hd__mux2_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002141, 0.002141) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.005372, 0.005372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83326, Cell 'sky130_fd_sc_hd__mux2_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004915, 0.004915) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005045, 0.005045) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83681, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004490, 0.004490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005398, 0.005398) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83701, Cell 'sky130_fd_sc_hd__mux2_8', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004803, 0.004803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.008197, 0.008197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 83721, Cell 'sky130_fd_sc_hd__mux2_8', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.007498, 0.007498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84076, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.002099, 0.002099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002364, 0.002364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84096, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.004829, 0.004829) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84116, Cell 'sky130_fd_sc_hd__mux2i_1', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.004476, 0.004476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84471, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.004098, 0.004098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004435, 0.004435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84491, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003937, 0.003937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.006716, 0.006716) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84511, Cell 'sky130_fd_sc_hd__mux2i_2', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.006107, 0.006107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.008585, 0.008585) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84866, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.007616, 0.007616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008723, 0.008723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84886, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.007809, 0.007809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'rise_capacitance_range' specified. The value (0.011598, 0.011598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 84906, Cell 'sky130_fd_sc_hd__mux2i_4', pin 'S', The port 'S' does not have the attribute 'fall_capacitance_range' specified. The value (0.010652, 0.010652) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85756, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001475, 0.001475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85776, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001396, 0.001396) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001510, 0.001510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85796, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001419, 0.001419) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001518, 0.001518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85816, Cell 'sky130_fd_sc_hd__mux4_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.004089, 0.004089) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85836, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.003700, 0.003700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002744, 0.002744) will be assigned to the attribute. (LBDB-1054) +Warning: Line 85856, Cell 'sky130_fd_sc_hd__mux4_1', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002607, 0.002607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001888, 0.001888) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86706, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001715, 0.001715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86726, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001703, 0.001703) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86746, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001668, 0.001668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001828, 0.001828) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86766, Cell 'sky130_fd_sc_hd__mux4_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005766, 0.005766) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86786, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005142, 0.005142) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003279, 0.003279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 86806, Cell 'sky130_fd_sc_hd__mux4_2', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'rise_capacitance_range' specified. The value (0.001875, 0.001875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87656, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A0', The port 'A0' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001867, 0.001867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87676, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87696, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001823, 0.001823) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87716, Cell 'sky130_fd_sc_hd__mux4_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001667, 0.001667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'rise_capacitance_range' specified. The value (0.005774, 0.005774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87736, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S0', The port 'S0' does not have the attribute 'fall_capacitance_range' specified. The value (0.005150, 0.005150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'rise_capacitance_range' specified. The value (0.003283, 0.003283) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87756, Cell 'sky130_fd_sc_hd__mux4_4', pin 'S1', The port 'S1' does not have the attribute 'fall_capacitance_range' specified. The value (0.003069, 0.003069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87972, Cell 'sky130_fd_sc_hd__nand2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002428, 0.002428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 87992, Cell 'sky130_fd_sc_hd__nand2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88144, Cell 'sky130_fd_sc_hd__nand2_2', pin 'Y', The 'values' attribute has a '-0.002888' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88208, Cell 'sky130_fd_sc_hd__nand2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004304, 0.004304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004621, 0.004621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88228, Cell 'sky130_fd_sc_hd__nand2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004215, 0.004215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.025064' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88380, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.011335' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88429, Cell 'sky130_fd_sc_hd__nand2_4', pin 'Y', The 'values' attribute has a '-0.007832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008796, 0.008796) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88444, Cell 'sky130_fd_sc_hd__nand2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008279, 0.008279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009272, 0.009272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88464, Cell 'sky130_fd_sc_hd__nand2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008388, 0.008388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.028073' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88616, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.018930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.015941' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88665, Cell 'sky130_fd_sc_hd__nand2_8', pin 'Y', The 'values' attribute has a '-0.008018' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017428, 0.017428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88680, Cell 'sky130_fd_sc_hd__nand2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016439, 0.016439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018096, 0.018096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88700, Cell 'sky130_fd_sc_hd__nand2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.016314, 0.016314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001445, 0.001445) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88916, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002507, 0.002507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 88936, Cell 'sky130_fd_sc_hd__nand2b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002291, 0.002291) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001438, 0.001438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89152, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001317, 0.001317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004776, 0.004776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89172, Cell 'sky130_fd_sc_hd__nand2b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004346, 0.004346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89373, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'Y', The 'values' attribute has a '-0.007935' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002479, 0.002479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89388, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009311, 0.009311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89408, Cell 'sky130_fd_sc_hd__nand2b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008417, 0.008417) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002350, 0.002350) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89714, Cell 'sky130_fd_sc_hd__nand3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89734, Cell 'sky130_fd_sc_hd__nand3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002463, 0.002463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 89754, Cell 'sky130_fd_sc_hd__nand3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004425, 0.004425) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90060, Cell 'sky130_fd_sc_hd__nand3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004599, 0.004599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90080, Cell 'sky130_fd_sc_hd__nand3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90100, Cell 'sky130_fd_sc_hd__nand3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004281, 0.004281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008843, 0.008843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90406, Cell 'sky130_fd_sc_hd__nand3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008482, 0.008482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008889, 0.008889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90426, Cell 'sky130_fd_sc_hd__nand3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008285, 0.008285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009225, 0.009225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90446, Cell 'sky130_fd_sc_hd__nand3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008326, 0.008326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90752, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001326, 0.001326) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90772, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 90792, Cell 'sky130_fd_sc_hd__nand3b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002223, 0.002223) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001504, 0.001504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91098, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001391, 0.001391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004665, 0.004665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91118, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91138, Cell 'sky130_fd_sc_hd__nand3b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004212, 0.004212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91444, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008778, 0.008778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91464, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008167, 0.008167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009336, 0.009336) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91484, Cell 'sky130_fd_sc_hd__nand3b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002352, 0.002352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91896, Cell 'sky130_fd_sc_hd__nand4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91916, Cell 'sky130_fd_sc_hd__nand4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002323, 0.002323) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91936, Cell 'sky130_fd_sc_hd__nand4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 91956, Cell 'sky130_fd_sc_hd__nand4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004367, 0.004367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92368, Cell 'sky130_fd_sc_hd__nand4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004222, 0.004222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92388, Cell 'sky130_fd_sc_hd__nand4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004274, 0.004274) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004484, 0.004484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92408, Cell 'sky130_fd_sc_hd__nand4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004150, 0.004150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92428, Cell 'sky130_fd_sc_hd__nand4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.008604, 0.008604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92840, Cell 'sky130_fd_sc_hd__nand4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008315, 0.008315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008696, 0.008696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92860, Cell 'sky130_fd_sc_hd__nand4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008247, 0.008247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008878, 0.008878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92880, Cell 'sky130_fd_sc_hd__nand4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008188, 0.008188) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009202, 0.009202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 92900, Cell 'sky130_fd_sc_hd__nand4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008276, 0.008276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001427, 0.001427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93312, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001324, 0.001324) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93332, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002244, 0.002244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002413, 0.002413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93352, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93372, Cell 'sky130_fd_sc_hd__nand4b_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002210, 0.002210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001563, 0.001563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93784, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93804, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004321, 0.004321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93824, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004292, 0.004292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004695, 0.004695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 93844, Cell 'sky130_fd_sc_hd__nand4b_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004251, 0.004251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94256, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002269, 0.002269) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008736, 0.008736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94276, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008273, 0.008273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008983, 0.008983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94296, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008281, 0.008281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94316, Cell 'sky130_fd_sc_hd__nand4b_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008277, 0.008277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001608, 0.001608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94728, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001480, 0.001480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94748, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002375, 0.002375) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94768, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 94788, Cell 'sky130_fd_sc_hd__nand4bb_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002203, 0.002203) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001545, 0.001545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95200, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001456, 0.001456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001544, 0.001544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95220, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95240, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004284, 0.004284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004696, 0.004696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95260, Cell 'sky130_fd_sc_hd__nand4bb_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95672, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'A_N', The port 'A_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95692, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002272, 0.002272) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.008933, 0.008933) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95712, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.008258, 0.008258) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009201, 0.009201) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95732, Cell 'sky130_fd_sc_hd__nand4bb_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.008284, 0.008284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95948, Cell 'sky130_fd_sc_hd__nor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002501, 0.002501) will be assigned to the attribute. (LBDB-1054) +Warning: Line 95968, Cell 'sky130_fd_sc_hd__nor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002206, 0.002206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.037415' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96169, Cell 'sky130_fd_sc_hd__nor2_2', pin 'Y', The 'values' attribute has a '-0.022069' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004611, 0.004611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96184, Cell 'sky130_fd_sc_hd__nor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004190, 0.004190) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004740, 0.004740) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96204, Cell 'sky130_fd_sc_hd__nor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004136, 0.004136) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.059367' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.048491' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96405, Cell 'sky130_fd_sc_hd__nor2_4', pin 'Y', The 'values' attribute has a '-0.023511' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009162, 0.009162) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96420, Cell 'sky130_fd_sc_hd__nor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009289, 0.009289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96440, Cell 'sky130_fd_sc_hd__nor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008085, 0.008085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.071037' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.064388' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96641, Cell 'sky130_fd_sc_hd__nor2_8', pin 'Y', The 'values' attribute has a '-0.045444' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017855, 0.017855) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96656, Cell 'sky130_fd_sc_hd__nor2_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016099, 0.016099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.018032, 0.018032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96676, Cell 'sky130_fd_sc_hd__nor2_8', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015685, 0.015685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96892, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001546, 0.001546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 96912, Cell 'sky130_fd_sc_hd__nor2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001434, 0.001434) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004538, 0.004538) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97128, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004112, 0.004112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001497, 0.001497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97148, Cell 'sky130_fd_sc_hd__nor2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001402, 0.001402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009107, 0.009107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97364, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008255, 0.008255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97384, Cell 'sky130_fd_sc_hd__nor2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002273, 0.002273) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002551, 0.002551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97690, Cell 'sky130_fd_sc_hd__nor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002331, 0.002331) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002530, 0.002530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97710, Cell 'sky130_fd_sc_hd__nor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002231, 0.002231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 97730, Cell 'sky130_fd_sc_hd__nor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002155, 0.002155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98021, Cell 'sky130_fd_sc_hd__nor3_2', pin 'Y', The 'values' attribute has a '-0.000385' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004601, 0.004601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98036, Cell 'sky130_fd_sc_hd__nor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004167, 0.004167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004675, 0.004675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98056, Cell 'sky130_fd_sc_hd__nor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004083, 0.004083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004690, 0.004690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98076, Cell 'sky130_fd_sc_hd__nor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.004002, 0.004002) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.050968' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.042379' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98367, Cell 'sky130_fd_sc_hd__nor3_4', pin 'Y', The 'values' attribute has a '-0.021937' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009128, 0.009128) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98382, Cell 'sky130_fd_sc_hd__nor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008219, 0.008219) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009629, 0.009629) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98402, Cell 'sky130_fd_sc_hd__nor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008379, 0.008379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009008, 0.009008) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98422, Cell 'sky130_fd_sc_hd__nor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007761, 0.007761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98728, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98748, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001512, 0.001512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 98768, Cell 'sky130_fd_sc_hd__nor3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99074, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99094, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004174, 0.004174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001318, 0.001318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99114, Cell 'sky130_fd_sc_hd__nor3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001236, 0.001236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009197, 0.009197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99420, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008240, 0.008240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99440, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007832, 0.007832) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99460, Cell 'sky130_fd_sc_hd__nor3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99872, Cell 'sky130_fd_sc_hd__nor4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002485, 0.002485) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99892, Cell 'sky130_fd_sc_hd__nor4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99912, Cell 'sky130_fd_sc_hd__nor4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 99932, Cell 'sky130_fd_sc_hd__nor4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002156, 0.002156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100329, Cell 'sky130_fd_sc_hd__nor4_2', pin 'Y', The 'values' attribute has a '-0.009724' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004583, 0.004583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100344, Cell 'sky130_fd_sc_hd__nor4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004134, 0.004134) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004608, 0.004608) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100364, Cell 'sky130_fd_sc_hd__nor4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004006, 0.004006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004638, 0.004638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100384, Cell 'sky130_fd_sc_hd__nor4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003962, 0.003962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.004668, 0.004668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100404, Cell 'sky130_fd_sc_hd__nor4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.003926, 0.003926) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.032950' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.026249' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100801, Cell 'sky130_fd_sc_hd__nor4_4', pin 'Y', The 'values' attribute has a '-0.010231' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100816, Cell 'sky130_fd_sc_hd__nor4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008152, 0.008152) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009170, 0.009170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100836, Cell 'sky130_fd_sc_hd__nor4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007962, 0.007962) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009031, 0.009031) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100856, Cell 'sky130_fd_sc_hd__nor4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007697, 0.007697) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.009298, 0.009298) will be assigned to the attribute. (LBDB-1054) +Warning: Line 100876, Cell 'sky130_fd_sc_hd__nor4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.007788, 0.007788) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002499, 0.002499) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101288, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101308, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101328, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002186, 0.002186) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001517, 0.001517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101348, Cell 'sky130_fd_sc_hd__nor4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004681, 0.004681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101760, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004231, 0.004231) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004726, 0.004726) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101780, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101800, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003966, 0.003966) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 101820, Cell 'sky130_fd_sc_hd__nor4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001381, 0.001381) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009051, 0.009051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102232, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008119, 0.008119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009069, 0.009069) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102252, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.009032, 0.009032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102272, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.007725, 0.007725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102292, Cell 'sky130_fd_sc_hd__nor4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002315, 0.002315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002426, 0.002426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102704, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102724, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002216, 0.002216) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001556, 0.001556) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102744, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001440, 0.001440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 102764, Cell 'sky130_fd_sc_hd__nor4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001340, 0.001340) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004593, 0.004593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103176, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004151, 0.004151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004627, 0.004627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103196, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103216, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001314, 0.001314) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103236, Cell 'sky130_fd_sc_hd__nor4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001431, 0.001431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009102, 0.009102) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103648, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008174, 0.008174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.009122, 0.009122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103668, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007945, 0.007945) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103688, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 103708, Cell 'sky130_fd_sc_hd__nor4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104258, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002500, 0.002500) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104278, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002182, 0.002182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002435, 0.002435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104298, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002443, 0.002443) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104318, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002317, 0.002317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002411, 0.002411) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104338, Cell 'sky130_fd_sc_hd__o2111a_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002316, 0.002316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002581, 0.002581) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104888, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002543, 0.002543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104908, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104928, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002532, 0.002532) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104948, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002368, 0.002368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 104968, Cell 'sky130_fd_sc_hd__o2111a_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002312, 0.002312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105518, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004119, 0.004119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005034, 0.005034) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105538, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004327, 0.004327) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004528, 0.004528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105558, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004200, 0.004200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004859, 0.004859) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105578, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004576, 0.004576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004452, 0.004452) will be assigned to the attribute. (LBDB-1054) +Warning: Line 105598, Cell 'sky130_fd_sc_hd__o2111a_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004206, 0.004206) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106148, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106168, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002409, 0.002409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106188, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002473, 0.002473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106208, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002335, 0.002335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002353, 0.002353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106228, Cell 'sky130_fd_sc_hd__o2111ai_1', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106778, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004121, 0.004121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004633, 0.004633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106798, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004023, 0.004023) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004605, 0.004605) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106818, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004288, 0.004288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004420, 0.004420) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106838, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004195, 0.004195) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004359, 0.004359) will be assigned to the attribute. (LBDB-1054) +Warning: Line 106858, Cell 'sky130_fd_sc_hd__o2111ai_2', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009109, 0.009109) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107408, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008234, 0.008234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009053, 0.009053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107428, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007812, 0.007812) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008815, 0.008815) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107448, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008150, 0.008150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008613, 0.008613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107468, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008488, 0.008488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107488, Cell 'sky130_fd_sc_hd__o2111ai_4', pin 'D1', The port 'D1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008235, 0.008235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107900, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107920, Cell 'sky130_fd_sc_hd__o211a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002185, 0.002185) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107940, Cell 'sky130_fd_sc_hd__o211a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002405, 0.002405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 107960, Cell 'sky130_fd_sc_hd__o211a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002540, 0.002540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108372, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002281, 0.002281) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108392, Cell 'sky130_fd_sc_hd__o211a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108412, Cell 'sky130_fd_sc_hd__o211a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002260, 0.002260) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108432, Cell 'sky130_fd_sc_hd__o211a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002319, 0.002319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005106, 0.005106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108844, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004784, 0.004784) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108864, Cell 'sky130_fd_sc_hd__o211a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004139, 0.004139) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005156, 0.005156) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108884, Cell 'sky130_fd_sc_hd__o211a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004741, 0.004741) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 108904, Cell 'sky130_fd_sc_hd__o211a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004279, 0.004279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109316, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109336, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002177, 0.002177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109356, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109376, Cell 'sky130_fd_sc_hd__o211ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109788, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004159, 0.004159) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004687, 0.004687) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109808, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004051, 0.004051) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109828, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004244, 0.004244) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004448, 0.004448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 109848, Cell 'sky130_fd_sc_hd__o211ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004253, 0.004253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009525, 0.009525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110260, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008587, 0.008587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009106, 0.009106) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110280, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007895, 0.007895) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009423, 0.009423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110300, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008668, 0.008668) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008708, 0.008708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110320, Cell 'sky130_fd_sc_hd__o211ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008170, 0.008170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002467, 0.002467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110626, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110646, Cell 'sky130_fd_sc_hd__o21a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002265, 0.002265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002446, 0.002446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110666, Cell 'sky130_fd_sc_hd__o21a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110972, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002564, 0.002564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 110992, Cell 'sky130_fd_sc_hd__o21a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111012, Cell 'sky130_fd_sc_hd__o21a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002329, 0.002329) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005077, 0.005077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111318, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004749, 0.004749) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111338, Cell 'sky130_fd_sc_hd__o21a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004118, 0.004118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004689, 0.004689) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111358, Cell 'sky130_fd_sc_hd__o21a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001818, 0.001818) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111664, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001809, 0.001809) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111684, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001604, 0.001604) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 111704, Cell 'sky130_fd_sc_hd__o21ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001622, 0.001622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002437, 0.002437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112010, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002617, 0.002617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112030, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002018, 0.002018) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112050, Cell 'sky130_fd_sc_hd__o21ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001975, 0.001975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112292, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'Y', The 'values' attribute has a '-0.003033' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005070, 0.005070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112356, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004594, 0.004594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004720, 0.004720) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112376, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004124, 0.004124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004522, 0.004522) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112396, Cell 'sky130_fd_sc_hd__o21ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004237, 0.004237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.018260' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112638, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'Y', The 'values' attribute has a '-0.007831' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009611, 0.009611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112702, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008678, 0.008678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009064, 0.009064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112722, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007883, 0.007883) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008993, 0.008993) will be assigned to the attribute. (LBDB-1054) +Warning: Line 112742, Cell 'sky130_fd_sc_hd__o21ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008391, 0.008391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113048, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002288, 0.002288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002576, 0.002576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113068, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001397, 0.001397) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113088, Cell 'sky130_fd_sc_hd__o21ba_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002504, 0.002504) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113394, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113414, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001437, 0.001437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113434, Cell 'sky130_fd_sc_hd__o21ba_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001333, 0.001333) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004617, 0.004617) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113740, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004175, 0.004175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004707, 0.004707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113760, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004060, 0.004060) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002512, 0.002512) will be assigned to the attribute. (LBDB-1054) +Warning: Line 113780, Cell 'sky130_fd_sc_hd__o21ba_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002455, 0.002455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114086, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002495, 0.002495) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114106, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002181, 0.002181) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114126, Cell 'sky130_fd_sc_hd__o21bai_1', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001631, 0.001631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004595, 0.004595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114432, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004163, 0.004163) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004715, 0.004715) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114452, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004107, 0.004107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114472, Cell 'sky130_fd_sc_hd__o21bai_2', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001293, 0.001293) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114714, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'Y', The 'values' attribute has a '-0.009966' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009319, 0.009319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114778, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008437, 0.008437) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114798, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007904, 0.007904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002471, 0.002471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 114818, Cell 'sky130_fd_sc_hd__o21bai_4', pin 'B1_N', The port 'B1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115368, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115388, Cell 'sky130_fd_sc_hd__o221a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002233, 0.002233) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002427, 0.002427) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115408, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002255, 0.002255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115428, Cell 'sky130_fd_sc_hd__o221a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002377, 0.002377) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115448, Cell 'sky130_fd_sc_hd__o221a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002259, 0.002259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 115998, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002246, 0.002246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116018, Cell 'sky130_fd_sc_hd__o221a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116038, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116058, Cell 'sky130_fd_sc_hd__o221a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002371, 0.002371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116078, Cell 'sky130_fd_sc_hd__o221a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002253, 0.002253) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005140, 0.005140) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116628, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004588, 0.004588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004600, 0.004600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116648, Cell 'sky130_fd_sc_hd__o221a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004001, 0.004001) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004922, 0.004922) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116668, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004564, 0.004564) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004518, 0.004518) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116688, Cell 'sky130_fd_sc_hd__o221a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004030, 0.004030) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004421, 0.004421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 116708, Cell 'sky130_fd_sc_hd__o221a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004189, 0.004189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117258, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002221, 0.002221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002474, 0.002474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117278, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002173, 0.002173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117298, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002248, 0.002248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002418, 0.002418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117318, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002187, 0.002187) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117338, Cell 'sky130_fd_sc_hd__o221ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002215, 0.002215) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005012, 0.005012) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117888, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004541, 0.004541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004618, 0.004618) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117908, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004019, 0.004019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005074, 0.005074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117928, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004683, 0.004683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004507, 0.004507) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117948, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004049, 0.004049) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004332, 0.004332) will be assigned to the attribute. (LBDB-1054) +Warning: Line 117968, Cell 'sky130_fd_sc_hd__o221ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004149, 0.004149) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009584, 0.009584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118518, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008631, 0.008631) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009093, 0.009093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118538, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007867, 0.007867) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009239, 0.009239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118558, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008592, 0.008592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008578, 0.008578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118578, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007672, 0.007672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008576, 0.008576) will be assigned to the attribute. (LBDB-1054) +Warning: Line 118598, Cell 'sky130_fd_sc_hd__o221ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008259, 0.008259) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119010, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119030, Cell 'sky130_fd_sc_hd__o22a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002268, 0.002268) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002490, 0.002490) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119050, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002320, 0.002320) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119070, Cell 'sky130_fd_sc_hd__o22a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002237, 0.002237) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119482, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119502, Cell 'sky130_fd_sc_hd__o22a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002197, 0.002197) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002477, 0.002477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119522, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119542, Cell 'sky130_fd_sc_hd__o22a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005035, 0.005035) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119954, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004555, 0.004555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119974, Cell 'sky130_fd_sc_hd__o22a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004027, 0.004027) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005024, 0.005024) will be assigned to the attribute. (LBDB-1054) +Warning: Line 119994, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004615, 0.004615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004561, 0.004561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120014, Cell 'sky130_fd_sc_hd__o22a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004066, 0.004066) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002431, 0.002431) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120426, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002491, 0.002491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120446, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002198, 0.002198) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120466, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120486, Cell 'sky130_fd_sc_hd__o22ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004574, 0.004574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120898, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004161, 0.004161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004613, 0.004613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120918, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004423, 0.004423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120938, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004176, 0.004176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004547, 0.004547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 120958, Cell 'sky130_fd_sc_hd__o22ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004081, 0.004081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009582, 0.009582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121370, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008635, 0.008635) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009097, 0.009097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121390, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007898, 0.007898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009252, 0.009252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121410, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008607, 0.008607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008758, 0.008758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121430, Cell 'sky130_fd_sc_hd__o22ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007887, 0.007887) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001433, 0.001433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121842, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001358, 0.001358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001525, 0.001525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121862, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001448, 0.001448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001529, 0.001529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121882, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001432, 0.001432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 121902, Cell 'sky130_fd_sc_hd__o2bb2a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001520, 0.001520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001730, 0.001730) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122314, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001599, 0.001599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001811, 0.001811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122334, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001696, 0.001696) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122354, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001648, 0.001648) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002005, 0.002005) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122374, Cell 'sky130_fd_sc_hd__o2bb2a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001758, 0.001758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005110, 0.005110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122786, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004678, 0.004678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004625, 0.004625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122806, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004229, 0.004229) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005064, 0.005064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122826, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004560, 0.004560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 122846, Cell 'sky130_fd_sc_hd__o2bb2a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002461, 0.002461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123258, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002256, 0.002256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002593, 0.002593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123278, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002414, 0.002414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002429, 0.002429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123298, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002218, 0.002218) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002536, 0.002536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123318, Cell 'sky130_fd_sc_hd__o2bb2ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002222, 0.002222) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123715, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'Y', The 'values' attribute has a '-0.002321' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005125, 0.005125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123730, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004674, 0.004674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.004645, 0.004645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123750, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.004256, 0.004256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123770, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004567, 0.004567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004641, 0.004641) will be assigned to the attribute. (LBDB-1054) +Warning: Line 123790, Cell 'sky130_fd_sc_hd__o2bb2ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004048, 0.004048) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124187, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'Y', The 'values' attribute has a '-0.007212' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009192, 0.009192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124202, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A1_N', The port 'A1_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008304, 0.008304) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.009105, 0.009105) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124222, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'A2_N', The port 'A2_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.008519, 0.008519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009118, 0.009118) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124242, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008228, 0.008228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009079, 0.009079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124262, Cell 'sky130_fd_sc_hd__o2bb2ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007889, 0.007889) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124812, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002520, 0.002520) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124832, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002205, 0.002205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002529, 0.002529) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124852, Cell 'sky130_fd_sc_hd__o311a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002169, 0.002169) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002457, 0.002457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124872, Cell 'sky130_fd_sc_hd__o311a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002287, 0.002287) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002423, 0.002423) will be assigned to the attribute. (LBDB-1054) +Warning: Line 124892, Cell 'sky130_fd_sc_hd__o311a_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002308, 0.002308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002478, 0.002478) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125442, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002214, 0.002214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002516, 0.002516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125462, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002200, 0.002200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002523, 0.002523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125482, Cell 'sky130_fd_sc_hd__o311a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002161, 0.002161) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125502, Cell 'sky130_fd_sc_hd__o311a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002402, 0.002402) will be assigned to the attribute. (LBDB-1054) +Warning: Line 125522, Cell 'sky130_fd_sc_hd__o311a_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004642, 0.004642) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126072, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004208, 0.004208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004619, 0.004619) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126092, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004028, 0.004028) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004825, 0.004825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126112, Cell 'sky130_fd_sc_hd__o311a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004063, 0.004063) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126132, Cell 'sky130_fd_sc_hd__o311a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004301, 0.004301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004548, 0.004548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126152, Cell 'sky130_fd_sc_hd__o311a_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004316, 0.004316) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001845, 0.001845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126702, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.001981, 0.001981) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126722, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.001769, 0.001769) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.001814, 0.001814) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126742, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.001574, 0.001574) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001775, 0.001775) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126762, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.001751, 0.001751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 126782, Cell 'sky130_fd_sc_hd__o311ai_0', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127332, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002270, 0.002270) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127352, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002309, 0.002309) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002508, 0.002508) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127372, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127392, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002380, 0.002380) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127412, Cell 'sky130_fd_sc_hd__o311ai_1', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002285, 0.002285) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127962, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004235, 0.004235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004656, 0.004656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 127982, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004071, 0.004071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004773, 0.004773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128002, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004050, 0.004050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004640, 0.004640) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128022, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004337, 0.004337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004470, 0.004470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128042, Cell 'sky130_fd_sc_hd__o311ai_2', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004286, 0.004286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009085, 0.009085) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128592, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008200, 0.008200) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009057, 0.009057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128612, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007845, 0.007845) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009251, 0.009251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128632, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007842, 0.007842) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008746, 0.008746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128652, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008179, 0.008179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008622, 0.008622) will be assigned to the attribute. (LBDB-1054) +Warning: Line 128672, Cell 'sky130_fd_sc_hd__o311ai_4', pin 'C1', The port 'C1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008282, 0.008282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129084, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002250, 0.002250) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002519, 0.002519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129104, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002211, 0.002211) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002513, 0.002513) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129124, Cell 'sky130_fd_sc_hd__o31a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002158, 0.002158) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129144, Cell 'sky130_fd_sc_hd__o31a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002289, 0.002289) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129556, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002238, 0.002238) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002510, 0.002510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129576, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002202, 0.002202) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002502, 0.002502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129596, Cell 'sky130_fd_sc_hd__o31a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 129616, Cell 'sky130_fd_sc_hd__o31a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004620, 0.004620) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130028, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004130, 0.004130) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.005116, 0.005116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130048, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004464, 0.004464) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004587, 0.004587) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130068, Cell 'sky130_fd_sc_hd__o31a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003918, 0.003918) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004734, 0.004734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130088, Cell 'sky130_fd_sc_hd__o31a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004412, 0.004412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002400, 0.002400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130500, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002672, 0.002672) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130520, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002665, 0.002665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130540, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002294, 0.002294) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002394, 0.002394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130560, Cell 'sky130_fd_sc_hd__o31ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004664, 0.004664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130972, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004246, 0.004246) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004662, 0.004662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 130992, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004078, 0.004078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004671, 0.004671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131012, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003960, 0.003960) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004540, 0.004540) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131032, Cell 'sky130_fd_sc_hd__o31ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004267, 0.004267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131380, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'Y', The 'values' attribute has a '-0.000155' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009078, 0.009078) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131444, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008155, 0.008155) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.009033, 0.009033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131464, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007890, 0.007890) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009400, 0.009400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131484, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007969, 0.007969) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008789, 0.008789) will be assigned to the attribute. (LBDB-1054) +Warning: Line 131504, Cell 'sky130_fd_sc_hd__o31ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008335, 0.008335) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002440, 0.002440) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132054, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002194, 0.002194) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002570, 0.002570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132074, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002245, 0.002245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132094, Cell 'sky130_fd_sc_hd__o32a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002388, 0.002388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132114, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002505, 0.002505) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132134, Cell 'sky130_fd_sc_hd__o32a_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002243, 0.002243) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002476, 0.002476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132684, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002444, 0.002444) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132704, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002148, 0.002148) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002469, 0.002469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132724, Cell 'sky130_fd_sc_hd__o32a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002120, 0.002120) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002346, 0.002346) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132744, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002207, 0.002207) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002432, 0.002432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 132764, Cell 'sky130_fd_sc_hd__o32a_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002170, 0.002170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004557, 0.004557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133314, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004145, 0.004145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004527, 0.004527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133334, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003964, 0.003964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004651, 0.004651) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133354, Cell 'sky130_fd_sc_hd__o32a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003936, 0.003936) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004405, 0.004405) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133374, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004074, 0.004074) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133394, Cell 'sky130_fd_sc_hd__o32a_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.003975, 0.003975) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002408, 0.002408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133944, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002220, 0.002220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002548, 0.002548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133964, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002251, 0.002251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 133984, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002116, 0.002116) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002407, 0.002407) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134004, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002498, 0.002498) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134024, Cell 'sky130_fd_sc_hd__o32ai_1', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002271, 0.002271) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004644, 0.004644) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134574, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004276, 0.004276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004610, 0.004610) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134594, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004029, 0.004029) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004713, 0.004713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134614, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.004046, 0.004046) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004546, 0.004546) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134634, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004214, 0.004214) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004462, 0.004462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 134654, Cell 'sky130_fd_sc_hd__o32ai_2', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009062, 0.009062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135204, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008256, 0.008256) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008802, 0.008802) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135224, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007701, 0.007701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.009123, 0.009123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135244, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007811, 0.007811) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008667, 0.008667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135264, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008092, 0.008092) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008707, 0.008707) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135284, Cell 'sky130_fd_sc_hd__o32ai_4', pin 'B2', The port 'B2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007906, 0.007906) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002421, 0.002421) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135834, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002541, 0.002541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135854, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002254, 0.002254) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002547, 0.002547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135874, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002613, 0.002613) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135894, Cell 'sky130_fd_sc_hd__o41a_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002236, 0.002236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002472, 0.002472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 135914, Cell 'sky130_fd_sc_hd__o41a_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002483, 0.002483) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136464, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002257, 0.002257) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002534, 0.002534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136484, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002550, 0.002550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136504, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002484, 0.002484) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136524, Cell 'sky130_fd_sc_hd__o41a_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002125, 0.002125) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002395, 0.002395) will be assigned to the attribute. (LBDB-1054) +Warning: Line 136544, Cell 'sky130_fd_sc_hd__o41a_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002242, 0.002242) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004682, 0.004682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137094, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004248, 0.004248) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004770, 0.004770) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137114, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004154, 0.004154) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137134, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003875, 0.003875) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004559, 0.004559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137154, Cell 'sky130_fd_sc_hd__o41a_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003856, 0.003856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004590, 0.004590) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137174, Cell 'sky130_fd_sc_hd__o41a_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004305, 0.004305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002433, 0.002433) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137724, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002225, 0.002225) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137744, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.002277, 0.002277) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.002566, 0.002566) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137764, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.002249, 0.002249) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.002560, 0.002560) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137784, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.002199, 0.002199) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.002349, 0.002349) will be assigned to the attribute. (LBDB-1054) +Warning: Line 137804, Cell 'sky130_fd_sc_hd__o41ai_1', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.002226, 0.002226) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004634, 0.004634) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138354, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004221, 0.004221) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.004573, 0.004573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138374, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.004020, 0.004020) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.004659, 0.004659) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138394, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.003987, 0.003987) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.004691, 0.004691) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138414, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.004455, 0.004455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138434, Cell 'sky130_fd_sc_hd__o41ai_2', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.004236, 0.004236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'rise_capacitance_range' specified. The value (0.009071, 0.009071) will be assigned to the attribute. (LBDB-1054) +Warning: Line 138984, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A1', The port 'A1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008209, 0.008209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'rise_capacitance_range' specified. The value (0.008980, 0.008980) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139004, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A2', The port 'A2' does not have the attribute 'fall_capacitance_range' specified. The value (0.007846, 0.007846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'rise_capacitance_range' specified. The value (0.008977, 0.008977) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139024, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A3', The port 'A3' does not have the attribute 'fall_capacitance_range' specified. The value (0.007750, 0.007750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'rise_capacitance_range' specified. The value (0.009099, 0.009099) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139044, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'A4', The port 'A4' does not have the attribute 'fall_capacitance_range' specified. The value (0.007711, 0.007711) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'rise_capacitance_range' specified. The value (0.008824, 0.008824) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139064, Cell 'sky130_fd_sc_hd__o41ai_4', pin 'B1', The port 'B1' does not have the attribute 'fall_capacitance_range' specified. The value (0.008476, 0.008476) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139280, Cell 'sky130_fd_sc_hd__or2_0', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001573, 0.001573) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139300, Cell 'sky130_fd_sc_hd__or2_0', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001543, 0.001543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139516, Cell 'sky130_fd_sc_hd__or2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001399, 0.001399) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139536, Cell 'sky130_fd_sc_hd__or2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001372, 0.001372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001570, 0.001570) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139752, Cell 'sky130_fd_sc_hd__or2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001496, 0.001496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139772, Cell 'sky130_fd_sc_hd__or2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001321, 0.001321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002545, 0.002545) will be assigned to the attribute. (LBDB-1054) +Warning: Line 139988, Cell 'sky130_fd_sc_hd__or2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002275, 0.002275) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140008, Cell 'sky130_fd_sc_hd__or2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002191, 0.002191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001797, 0.001797) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140224, Cell 'sky130_fd_sc_hd__or2b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001662, 0.001662) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140244, Cell 'sky130_fd_sc_hd__or2b_1', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001368, 0.001368) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140460, Cell 'sky130_fd_sc_hd__or2b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001638, 0.001638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001472, 0.001472) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140480, Cell 'sky130_fd_sc_hd__or2b_2', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001371, 0.001371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002621, 0.002621) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140696, Cell 'sky130_fd_sc_hd__or2b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002328, 0.002328) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001633, 0.001633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 140716, Cell 'sky130_fd_sc_hd__or2b_4', pin 'B_N', The port 'B_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141022, Cell 'sky130_fd_sc_hd__or3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001470, 0.001470) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001723, 0.001723) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141042, Cell 'sky130_fd_sc_hd__or3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001548, 0.001548) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001492, 0.001492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141062, Cell 'sky130_fd_sc_hd__or3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001300, 0.001300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001649, 0.001649) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141368, Cell 'sky130_fd_sc_hd__or3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001459, 0.001459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001705, 0.001705) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141388, Cell 'sky130_fd_sc_hd__or3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001539, 0.001539) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001469, 0.001469) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141408, Cell 'sky130_fd_sc_hd__or3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001288, 0.001288) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141714, Cell 'sky130_fd_sc_hd__or3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002262, 0.002262) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002509, 0.002509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141734, Cell 'sky130_fd_sc_hd__or3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002193, 0.002193) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 141754, Cell 'sky130_fd_sc_hd__or3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002111, 0.002111) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142060, Cell 'sky130_fd_sc_hd__or3b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001473, 0.001473) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001821, 0.001821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142080, Cell 'sky130_fd_sc_hd__or3b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001645, 0.001645) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142100, Cell 'sky130_fd_sc_hd__or3b_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001312, 0.001312) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142406, Cell 'sky130_fd_sc_hd__or3b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001394, 0.001394) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142426, Cell 'sky130_fd_sc_hd__or3b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001486, 0.001486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001572, 0.001572) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142446, Cell 'sky130_fd_sc_hd__or3b_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001446, 0.001446) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142752, Cell 'sky130_fd_sc_hd__or3b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002295, 0.002295) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142772, Cell 'sky130_fd_sc_hd__or3b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002224, 0.002224) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 142792, Cell 'sky130_fd_sc_hd__or3b_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001426, 0.001426) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001526, 0.001526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143204, Cell 'sky130_fd_sc_hd__or4_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001351, 0.001351) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001825, 0.001825) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143224, Cell 'sky130_fd_sc_hd__or4_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001630, 0.001630) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143244, Cell 'sky130_fd_sc_hd__or4_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001415, 0.001415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001451, 0.001451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143264, Cell 'sky130_fd_sc_hd__or4_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001267, 0.001267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001506, 0.001506) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143676, Cell 'sky130_fd_sc_hd__or4_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001344, 0.001344) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001808, 0.001808) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143696, Cell 'sky130_fd_sc_hd__or4_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001625, 0.001625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001607, 0.001607) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143716, Cell 'sky130_fd_sc_hd__or4_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001409, 0.001409) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001436, 0.001436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 143736, Cell 'sky130_fd_sc_hd__or4_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001261, 0.001261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002493, 0.002493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144148, Cell 'sky130_fd_sc_hd__or4_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002240, 0.002240) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144168, Cell 'sky130_fd_sc_hd__or4_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002307, 0.002307) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002625, 0.002625) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144188, Cell 'sky130_fd_sc_hd__or4_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002266, 0.002266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144208, Cell 'sky130_fd_sc_hd__or4_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002096, 0.002096) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001533, 0.001533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144620, Cell 'sky130_fd_sc_hd__or4b_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001356, 0.001356) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001909, 0.001909) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144640, Cell 'sky130_fd_sc_hd__or4b_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001713, 0.001713) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001606, 0.001606) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144660, Cell 'sky130_fd_sc_hd__or4b_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001400, 0.001400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 144680, Cell 'sky130_fd_sc_hd__or4b_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001541, 0.001541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145092, Cell 'sky130_fd_sc_hd__or4b_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001362, 0.001362) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145112, Cell 'sky130_fd_sc_hd__or4b_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.001591, 0.001591) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145132, Cell 'sky130_fd_sc_hd__or4b_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.001393, 0.001393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001502, 0.001502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145152, Cell 'sky130_fd_sc_hd__or4b_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001376, 0.001376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145564, Cell 'sky130_fd_sc_hd__or4b_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002589, 0.002589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145584, Cell 'sky130_fd_sc_hd__or4b_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002302, 0.002302) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145604, Cell 'sky130_fd_sc_hd__or4b_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.002267, 0.002267) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001536, 0.001536) will be assigned to the attribute. (LBDB-1054) +Warning: Line 145624, Cell 'sky130_fd_sc_hd__or4b_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001435, 0.001435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001598, 0.001598) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146036, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001408, 0.001408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001627, 0.001627) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146056, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001462, 0.001462) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146076, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001465, 0.001465) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001455, 0.001455) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146096, Cell 'sky130_fd_sc_hd__or4bb_1', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001577, 0.001577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146508, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001401, 0.001401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001611, 0.001611) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146528, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001457, 0.001457) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001568, 0.001568) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146548, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001466, 0.001466) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001454, 0.001454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146568, Cell 'sky130_fd_sc_hd__or4bb_2', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001361, 0.001361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002492, 0.002492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 146980, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002562, 0.002562) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147000, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002276, 0.002276) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001557, 0.001557) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147020, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'C_N', The port 'C_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001449, 0.001449) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001447, 0.001447) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147040, Cell 'sky130_fd_sc_hd__or4bb_4', pin 'D_N', The port 'D_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001347, 0.001347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007235, 0.007235) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006481, 0.006481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147174, Cell 'sky130_fd_sc_hd__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007255, 0.007255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006497, 0.006497) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147298, Cell 'sky130_fd_sc_hd__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003521, 0.003521) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147699, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003347, 0.003347) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147750, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001706, 0.001706) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147783, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001534, 0.001534) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147821, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001861, 0.001861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147867, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001698, 0.001698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147879, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001600, 0.001600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147902, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001477, 0.001477) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 147962, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001690, 0.001690) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002755, 0.002755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148022, Cell 'sky130_fd_sc_hd__sdfbbn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003510, 0.003510) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148875, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003339, 0.003339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148926, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148959, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001547, 0.001547) will be assigned to the attribute. (LBDB-1054) +Warning: Line 148997, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.001846, 0.001846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149043, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149055, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001594, 0.001594) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149078, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001491, 0.001491) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001835, 0.001835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149138, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001682, 0.001682) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002747, 0.002747) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149198, Cell 'sky130_fd_sc_hd__sdfbbn_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002488, 0.002488) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003517, 0.003517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150051, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150102, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001718, 0.001718) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150135, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001559, 0.001559) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150173, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001851, 0.001851) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150219, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150231, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001624, 0.001624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150254, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001461, 0.001461) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150314, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001704, 0.001704) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002756, 0.002756) will be assigned to the attribute. (LBDB-1054) +Warning: Line 150374, Cell 'sky130_fd_sc_hd__sdfbbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002486, 0.002486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003541, 0.003541) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151124, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003482, 0.003482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151162, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151181, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002322, 0.002322) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151193, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001676, 0.001676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151216, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151531, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151592, Cell 'sky130_fd_sc_hd__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003554, 0.003554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151941, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003475, 0.003475) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151979, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002579, 0.002579) will be assigned to the attribute. (LBDB-1054) +Warning: Line 151998, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002313, 0.002313) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152010, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152033, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152348, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152409, Cell 'sky130_fd_sc_hd__sdfrbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003514, 0.003514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152748, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003492, 0.003492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152786, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.002601, 0.002601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152805, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The port 'CLK_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.002305, 0.002305) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152817, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'CLK_N', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001661, 0.001661) will be assigned to the attribute. (LBDB-1054) +Warning: Line 152840, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001565, 0.001565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001940, 0.001940) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153028, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001803, 0.001803) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004053, 0.004053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153089, Cell 'sky130_fd_sc_hd__sdfrtn_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003315, 0.003315) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003528, 0.003528) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153428, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003550, 0.003550) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153466, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153485, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153497, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153520, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153708, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 153769, Cell 'sky130_fd_sc_hd__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003530, 0.003530) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154108, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003533, 0.003533) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154146, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154165, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002321, 0.002321) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154177, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154200, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154388, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003972, 0.003972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154449, Cell 'sky130_fd_sc_hd__sdfrtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003531, 0.003531) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154788, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003516, 0.003516) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154826, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002554, 0.002554) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154845, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002325, 0.002325) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154857, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001677, 0.001677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 154880, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001551, 0.001551) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001963, 0.001963) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155068, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001779, 0.001779) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003973, 0.003973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155129, Cell 'sky130_fd_sc_hd__sdfrtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003400, 0.003400) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002037, 0.002037) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155444, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001862, 0.001862) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155456, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001956, 0.001956) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155479, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001801, 0.001801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155794, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003664, 0.003664) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155855, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003388, 0.003388) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003371, 0.003371) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155916, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003318, 0.003318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 155954, Cell 'sky130_fd_sc_hd__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002068, 0.002068) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156227, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001894, 0.001894) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156239, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001949, 0.001949) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156262, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001765, 0.001765) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156577, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001665, 0.001665) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003667, 0.003667) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156638, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003392, 0.003392) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156699, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003266, 0.003266) will be assigned to the attribute. (LBDB-1054) +Warning: Line 156737, Cell 'sky130_fd_sc_hd__sdfsbp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157005, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157017, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157040, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157228, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003678, 0.003678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157289, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157350, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003265, 0.003265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157388, Cell 'sky130_fd_sc_hd__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157656, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001873, 0.001873) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157668, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157691, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157879, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 157940, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003374, 0.003374) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158001, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003264, 0.003264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158039, Cell 'sky130_fd_sc_hd__sdfstp_2', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002043, 0.002043) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158307, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001856, 0.001856) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158319, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001964, 0.001964) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158342, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001778, 0.001778) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001798, 0.001798) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158530, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001666, 0.001666) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003677, 0.003677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158591, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003403, 0.003403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.003379, 0.003379) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158652, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.003261, 0.003261) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158690, Cell 'sky130_fd_sc_hd__sdfstp_4', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001869, 0.001869) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158894, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001699, 0.001699) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158906, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001781, 0.001781) will be assigned to the attribute. (LBDB-1054) +Warning: Line 158929, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001615, 0.001615) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001904, 0.001904) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159156, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003555, 0.003555) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159217, Cell 'sky130_fd_sc_hd__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003167, 0.003167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001870, 0.001870) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159463, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001700, 0.001700) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159475, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159498, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001914, 0.001914) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159725, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001734, 0.001734) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 159786, Cell 'sky130_fd_sc_hd__sdfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003172, 0.003172) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160027, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160039, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001776, 0.001776) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160062, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001616, 0.001616) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160206, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001722, 0.001722) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003583, 0.003583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160267, Cell 'sky130_fd_sc_hd__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003192, 0.003192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160508, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001709, 0.001709) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160520, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001772, 0.001772) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160543, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001903, 0.001903) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160687, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001725, 0.001725) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003563, 0.003563) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160748, Cell 'sky130_fd_sc_hd__sdfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160989, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001693, 0.001693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161001, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001774, 0.001774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161024, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001612, 0.001612) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001905, 0.001905) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161168, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001727, 0.001727) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003561, 0.003561) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161229, Cell 'sky130_fd_sc_hd__sdfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003191, 0.003191) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161369, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003793, 0.003793) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161459, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003496, 0.003496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161472, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002041, 0.002041) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161491, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001750, 0.001750) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001913, 0.001913) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161552, Cell 'sky130_fd_sc_hd__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001746, 0.001746) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161692, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.003800, 0.003800) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161782, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.003479, 0.003479) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161795, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002062, 0.002062) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161814, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001761, 0.001761) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001910, 0.001910) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161875, Cell 'sky130_fd_sc_hd__sdlclkp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001743, 0.001743) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162015, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.004486, 0.004486) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162105, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.004070, 0.004070) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162118, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002097, 0.002097) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162137, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001773, 0.001773) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.001923, 0.001923) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162198, Cell 'sky130_fd_sc_hd__sdlclkp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.001745, 0.001745) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001844, 0.001844) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162741, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162753, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162776, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162836, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003175, 0.003175) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162896, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 162956, Cell 'sky130_fd_sc_hd__sedfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001843, 0.001843) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163498, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001685, 0.001685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163510, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163533, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003429, 0.003429) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163593, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003176, 0.003176) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002937, 0.002937) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163653, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002972, 0.002972) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001782, 0.001782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 163713, Cell 'sky130_fd_sc_hd__sedfxbp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164167, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001681, 0.001681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164179, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164202, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164262, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003174, 0.003174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164322, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002983, 0.002983) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164382, Cell 'sky130_fd_sc_hd__sedfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001671, 0.001671) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164836, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001683, 0.001683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164848, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001857, 0.001857) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164871, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001674, 0.001674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164931, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003177, 0.003177) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 164991, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002982, 0.002982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165051, Cell 'sky130_fd_sc_hd__sedfxtp_2', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001670, 0.001670) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.001853, 0.001853) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165505, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.001695, 0.001695) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165517, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'CLK', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.001858, 0.001858) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165540, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.001675, 0.001675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'rise_capacitance_range' specified. The value (0.003408, 0.003408) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165600, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'DE', The port 'DE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003173, 0.003173) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002938, 0.002938) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165660, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002947, 0.002947) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.001783, 0.001783) will be assigned to the attribute. (LBDB-1054) +Warning: Line 165720, Cell 'sky130_fd_sc_hd__sedfxtp_4', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.001669, 0.001669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004677, 0.004677) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004339, 0.004339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166074, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004653, 0.004653) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004471, 0.004471) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166084, Cell 'sky130_fd_sc_hd__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009040, 0.009040) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008318, 0.008318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166388, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008442, 0.008442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008077, 0.008077) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166398, Cell 'sky130_fd_sc_hd__xnor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.017467, 0.017467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016065, 0.016065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166702, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.016264, 0.016264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.015593, 0.015593) will be assigned to the attribute. (LBDB-1054) +Warning: Line 166712, Cell 'sky130_fd_sc_hd__xnor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002300, 0.002300) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167155, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005131, 0.005131) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167165, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003361, 0.003361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167175, Cell 'sky130_fd_sc_hd__xnor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002297, 0.002297) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167618, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005436, 0.005436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167628, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003597, 0.003597) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003353, 0.003353) will be assigned to the attribute. (LBDB-1054) +Warning: Line 167638, Cell 'sky130_fd_sc_hd__xnor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002582, 0.002582) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002292, 0.002292) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168081, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005438, 0.005438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005122, 0.005122) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168091, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003599, 0.003599) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003352, 0.003352) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168101, Cell 'sky130_fd_sc_hd__xnor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.004544, 0.004544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004210, 0.004210) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168405, Cell 'sky130_fd_sc_hd__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004509, 0.004509) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004170, 0.004170) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168415, Cell 'sky130_fd_sc_hd__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009376, 0.009376) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008584, 0.008584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168719, Cell 'sky130_fd_sc_hd__xor2_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008493, 0.008493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007837, 0.007837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 168729, Cell 'sky130_fd_sc_hd__xor2_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.018110, 0.018110) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.016609, 0.016609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169033, Cell 'sky130_fd_sc_hd__xor2_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.015755, 0.015755) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.014412, 0.014412) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169043, Cell 'sky130_fd_sc_hd__xor2_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002299, 0.002299) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169486, Cell 'sky130_fd_sc_hd__xor3_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005124, 0.005124) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169496, Cell 'sky130_fd_sc_hd__xor3_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003600, 0.003600) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003370, 0.003370) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169506, Cell 'sky130_fd_sc_hd__xor3_1', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002296, 0.002296) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169949, Cell 'sky130_fd_sc_hd__xor3_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005435, 0.005435) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005123, 0.005123) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169959, Cell 'sky130_fd_sc_hd__xor3_2', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003601, 0.003601) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003364, 0.003364) will be assigned to the attribute. (LBDB-1054) +Warning: Line 169969, Cell 'sky130_fd_sc_hd__xor3_2', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002565, 0.002565) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002279, 0.002279) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170412, Cell 'sky130_fd_sc_hd__xor3_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'rise_capacitance_range' specified. The value (0.005432, 0.005432) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The port 'B' does not have the attribute 'fall_capacitance_range' specified. The value (0.005117, 0.005117) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170422, Cell 'sky130_fd_sc_hd__xor3_4', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'rise_capacitance_range' specified. The value (0.003586, 0.003586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The port 'C' does not have the attribute 'fall_capacitance_range' specified. The value (0.003343, 0.003343) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170432, Cell 'sky130_fd_sc_hd__xor3_4', pin 'C', The pin 'C' does not have a internal_power group. (LBDB-607) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.009623, 0.009623) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.008751, 0.008751) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170556, Cell 'sky130_fd_sc_hd__buf_12', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.006150' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170643, Cell 'sky130_fd_sc_hd__buf_16', pin 'X', The 'values' attribute has a '-0.001544' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.014308, 0.014308) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.012970, 0.012970) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170680, Cell 'sky130_fd_sc_hd__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.007337, 0.007337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.006676, 0.006676) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170804, Cell 'sky130_fd_sc_hd__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005973, 0.005973) will be assigned to the attribute. (LBDB-1054) +Warning: Line 170933, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006057, 0.006057) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005991, 0.005991) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171064, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.006036, 0.006036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.005982, 0.005982) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171195, Cell 'sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 47816, Cell 'sky130_fd_sc_hd__decap_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_12'. (LIBG-10) +Warning: Line 47837, Cell 'sky130_fd_sc_hd__decap_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_3'. (LIBG-10) +Warning: Line 47858, Cell 'sky130_fd_sc_hd__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_4'. (LIBG-10) +Warning: Line 47879, Cell 'sky130_fd_sc_hd__decap_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_6'. (LIBG-10) +Warning: Line 47900, Cell 'sky130_fd_sc_hd__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__decap_8'. (LIBG-10) +Warning: Line 56013, Cell 'sky130_fd_sc_hd__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__diode_2'. (LIBG-10) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 56063, Cell 'sky130_fd_sc_hd__dlclkp_1', Cell(sky130_fd_sc_hd__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_2' is 'latch_posedge'. (LIBG-265) +Warning: Line 56299, Cell 'sky130_fd_sc_hd__dlclkp_2', Cell(sky130_fd_sc_hd__dlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__dlclkp_4' is 'latch_posedge'. (LIBG-265) +Warning: Line 56535, Cell 'sky130_fd_sc_hd__dlclkp_4', Cell(sky130_fd_sc_hd__dlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 66625, Cell 'sky130_fd_sc_hd__edfxbp_1', The 'sky130_fd_sc_hd__edfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 67037, Cell 'sky130_fd_sc_hd__edfxtp_1', The 'sky130_fd_sc_hd__edfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 76403, Cell 'sky130_fd_sc_hd__lpflow_bleeder_1', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_bleeder_1'. (LIBG-10) +Warning: Line 77743, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_12'. (LIBG-10) +Warning: Line 77768, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_3'. (LIBG-10) +Warning: Line 77793, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_4'. (LIBG-10) +Warning: Line 77818, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_6'. (LIBG-10) +Warning: Line 77843, Cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hd__lpflow_decapkapwr_8'. (LIBG-10) +Warning: Line 147309, Cell 'sky130_fd_sc_hd__sdfbbn_1', The 'sky130_fd_sc_hd__sdfbbn_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 148485, Cell 'sky130_fd_sc_hd__sdfbbn_2', The 'sky130_fd_sc_hd__sdfbbn_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 149661, Cell 'sky130_fd_sc_hd__sdfbbp_1', The 'sky130_fd_sc_hd__sdfbbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161291, Cell 'sky130_fd_sc_hd__sdlclkp_1', Cell(sky130_fd_sc_hd__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_2' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161614, Cell 'sky130_fd_sc_hd__sdlclkp_2', Cell(sky130_fd_sc_hd__sdlclkp_2): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Derived ICG type for cell 'sky130_fd_sc_hd__sdlclkp_4' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 161937, Cell 'sky130_fd_sc_hd__sdlclkp_4', Cell(sky130_fd_sc_hd__sdlclkp_4): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Warning: Line 162260, Cell 'sky130_fd_sc_hd__sedfxbp_1', The 'sky130_fd_sc_hd__sedfxbp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163017, Cell 'sky130_fd_sc_hd__sedfxbp_2', The 'sky130_fd_sc_hd__sedfxbp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 163774, Cell 'sky130_fd_sc_hd__sedfxtp_1', The 'sky130_fd_sc_hd__sedfxtp_1' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 164443, Cell 'sky130_fd_sc_hd__sedfxtp_2', The 'sky130_fd_sc_hd__sedfxtp_2' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Warning: Line 165112, Cell 'sky130_fd_sc_hd__sedfxtp_4', The 'sky130_fd_sc_hd__sedfxtp_4' cell is missing the 'nextstate_type' attribute + for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243) +Technology library 'sky130_fd_sc_hd__tt_1.80v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' ... +Warning: Line 43, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 43, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.039730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.079170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1422, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.120100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.142970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1488, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.198900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.088770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1554, Cell 'sky130_fd_sc_hvl__a21oi_1', pin 'Y', The 'values' attribute has a '-0.138500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.025970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 3938, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.062900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.021670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4070, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.055900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.038830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.086270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4136, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.136000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.030470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 4202, Cell 'sky130_fd_sc_hvl__a22oi_1', pin 'Y', The 'values' attribute has a '-0.073200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 5204, Cell 'sky130_fd_sc_hvl__buf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5401, Cell 'sky130_fd_sc_hvl__buf_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5575, Cell 'sky130_fd_sc_hvl__buf_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5784, Cell 'sky130_fd_sc_hvl__buf_32', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 5981, Cell 'sky130_fd_sc_hvl__buf_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 6178, Cell 'sky130_fd_sc_hvl__buf_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Information: Line 6187, Cell 'sky130_fd_sc_hvl__conb_1', No internal_power information for the 'sky130_fd_sc_hvl__conb_1' cell. (LBDB-301) +Warning: Line 6207, Cell 'sky130_fd_sc_hvl__conb_1', pin 'HI', The pin 'HI' does not have a internal_power group. (LBDB-607) +Warning: Line 6215, Cell 'sky130_fd_sc_hvl__conb_1', pin 'LO', The pin 'LO' does not have a internal_power group. (LBDB-607) +Information: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', No internal_power information for the 'sky130_fd_sc_hvl__decap_4' cell. (LBDB-301) +Information: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', No internal_power information for the 'sky130_fd_sc_hvl__decap_8' cell. (LBDB-301) +Warning: Line 6670, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'TE_B', The pin 'TE_B' does not have a internal_power group. (LBDB-607) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.006170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6835, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.033700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.057400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.326430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.482470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.645700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 6870, Cell 'sky130_fd_sc_hvl__einvn_1', pin 'Z', The 'values' attribute has a '-0.002000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7071, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'TE', The pin 'TE' does not have a internal_power group. (LBDB-607) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.003770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7236, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.030600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.074660' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.182900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.528130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.118230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.062630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.001330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.721770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.253570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.190370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.120670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.043870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.921900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.399100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.328900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.251500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.166300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7301, Cell 'sky130_fd_sc_hvl__einvp_1', pin 'Z', The 'values' attribute has a '-0.072600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7551, Cell 'sky130_fd_sc_hvl__inv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.006700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.051830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.035130' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.027630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.018830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.008630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.116370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.083770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.070770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.062570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.053070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.041970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.014570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.156500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.127300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.121800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.115400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.107900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.099100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.088900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.077100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.063400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.047600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.029600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7706, Cell 'sky130_fd_sc_hvl__inv_16', pin 'Y', The 'values' attribute has a '-0.009000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7725, Cell 'sky130_fd_sc_hvl__inv_16', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.003430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.022470' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7880, Cell 'sky130_fd_sc_hvl__inv_2', pin 'Y', The 'values' attribute has a '-0.042300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 7899, Cell 'sky130_fd_sc_hvl__inv_2', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.034430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.062770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8054, Cell 'sky130_fd_sc_hvl__inv_4', pin 'Y', The 'values' attribute has a '-0.092100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8073, Cell 'sky130_fd_sc_hvl__inv_4', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.000800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.063630' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.012830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.003930' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.098770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.043770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.034070' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.022770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.009770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.076200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.065800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.053800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.039900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.023900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8228, Cell 'sky130_fd_sc_hvl__inv_8', pin 'Y', The 'values' attribute has a '-0.005600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 8247, Cell 'sky130_fd_sc_hvl__inv_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.076330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.124570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 16824, Cell 'sky130_fd_sc_hvl__nor2_1', pin 'Y', The 'values' attribute has a '-0.174400' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.023430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.077670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17180, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.134700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.004200' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.131730' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.203370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 17244, Cell 'sky130_fd_sc_hvl__nor3_1', pin 'Y', The 'values' attribute has a '-0.277100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.036030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.083970' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 18264, Cell 'sky130_fd_sc_hvl__o21ai_1', pin 'Y', The 'values' attribute has a '-0.134100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037430' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.088170' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20646, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.141300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20712, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.013000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.030830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.080670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 20778, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.133000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.012770' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21042, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.047800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.037030' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.082270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21174, Cell 'sky130_fd_sc_hvl__o22ai_1', pin 'Y', The 'values' attribute has a '-0.129600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21423, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.070800' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.063570' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.148900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.035700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21487, Cell 'sky130_fd_sc_hvl__or2_1', pin 'X', The 'values' attribute has a '-0.018700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.012270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21779, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.058870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.154300' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.038100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.021000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21843, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.001500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.002330' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.097370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.199100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.082900' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.065700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.046000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 21907, Cell 'sky130_fd_sc_hvl__or3_1', pin 'X', The 'values' attribute has a '-0.023500' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22176, Cell 'sky130_fd_sc_hvl__schmittbuf_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.022230' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.071870' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22815, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'Y', The 'values' attribute has a '-0.124100' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 22900, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 22908, Cell 'sky130_fd_sc_hvl__xnor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23393, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 23401, Cell 'sky130_fd_sc_hvl__xor2_1', pin 'B', The pin 'B' does not have a internal_power group. (LBDB-607) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007535, 0.007535) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23764, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007584, 0.007584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23776, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002537, 0.002537) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23837, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002290, 0.002290) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002337, 0.002337) will be assigned to the attribute. (LBDB-1054) +Warning: Line 23859, Cell 'sky130_fd_sc_hvl__dfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007693, 0.007693) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24367, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007752, 0.007752) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24379, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002595, 0.002595) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24440, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002436, 0.002436) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002318, 0.002318) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24462, Cell 'sky130_fd_sc_hvl__dfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002192, 0.002192) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24807, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002454, 0.002454) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002189, 0.002189) will be assigned to the attribute. (LBDB-1054) +Warning: Line 24829, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002059, 0.002059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004673, 0.004673) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25247, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004460, 0.004460) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25259, Cell 'sky130_fd_sc_hvl__dfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002578, 0.002578) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25410, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002365, 0.002365) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002252, 0.002252) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25432, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002010, 0.002010) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004183, 0.004183) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25687, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004011, 0.004011) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25699, Cell 'sky130_fd_sc_hvl__dfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25833, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002363, 0.002363) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002282, 0.002282) will be assigned to the attribute. (LBDB-1054) +Warning: Line 25855, Cell 'sky130_fd_sc_hvl__dfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002107, 0.002107) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002567, 0.002567) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26234, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002410, 0.002410) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002415, 0.002415) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26256, Cell 'sky130_fd_sc_hvl__dfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002232, 0.002232) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26529, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26642, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005182, 0.005182) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002179, 0.002179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26664, Cell 'sky130_fd_sc_hvl__dlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002083, 0.002083) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002584, 0.002584) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26869, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002358, 0.002358) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26881, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002511, 0.002511) will be assigned to the attribute. (LBDB-1054) +Warning: Line 26942, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002378, 0.002378) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002675, 0.002675) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27034, Cell 'sky130_fd_sc_hvl__dlrtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002633, 0.002633) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27656, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002439, 0.002439) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002577, 0.002577) will be assigned to the attribute. (LBDB-1054) +Warning: Line 27748, Cell 'sky130_fd_sc_hvl__dlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002301, 0.002301) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.008241, 0.008241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28451, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.008121, 0.008121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28463, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002503, 0.002503) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28524, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002372, 0.002372) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002239, 0.002239) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28546, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002082, 0.002082) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002393, 0.002393) will be assigned to the attribute. (LBDB-1054) +Warning: Line 28965, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002303, 0.002303) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005167, 0.005167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29058, Cell 'sky130_fd_sc_hvl__sdfrbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004846, 0.004846) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007660, 0.007660) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29366, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007683, 0.007683) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29378, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'RESET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002391, 0.002391) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29439, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002481, 0.002481) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002208, 0.002208) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29461, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002075, 0.002075) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002482, 0.002482) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29717, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002401, 0.002401) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004861, 0.004861) will be assigned to the attribute. (LBDB-1054) +Warning: Line 29810, Cell 'sky130_fd_sc_hvl__sdfrtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004492, 0.004492) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002544, 0.002544) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30123, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002361, 0.002361) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002278, 0.002278) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30145, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002081, 0.002081) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30564, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002145, 0.002145) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004245, 0.004245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30657, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003835, 0.003835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004467, 0.004467) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30750, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004319, 0.004319) will be assigned to the attribute. (LBDB-1054) +Warning: Line 30762, Cell 'sky130_fd_sc_hvl__sdfsbp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002736, 0.002736) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31038, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002480, 0.002480) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002247, 0.002247) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31060, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002079, 0.002079) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002174, 0.002174) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31317, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002234, 0.002234) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004217, 0.004217) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31410, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.003805, 0.003805) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.004317, 0.004317) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31503, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The port 'SET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.004065, 0.004065) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31515, Cell 'sky130_fd_sc_hvl__sdfstp_1', pin 'SET_B', The 'related_output_pin' attribute is only needed + when the delay table refers to a template which uses the + output loading of the related_output_pin in one of its dimension. (LBDB-396) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002468, 0.002468) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31727, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002311, 0.002311) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002459, 0.002459) will be assigned to the attribute. (LBDB-1054) +Warning: Line 31749, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002228, 0.002228) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002151, 0.002151) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32056, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002064, 0.002064) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004428, 0.004428) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32149, Cell 'sky130_fd_sc_hvl__sdfxbp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004033, 0.004033) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002526, 0.002526) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32388, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002442, 0.002442) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002438, 0.002438) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32410, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002284, 0.002284) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002264, 0.002264) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32610, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002212, 0.002212) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004496, 0.004496) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32703, Cell 'sky130_fd_sc_hvl__sdfxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004036, 0.004036) will be assigned to the attribute. (LBDB-1054) +Warning: Line 32888, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'M0', The pin 'M0' does not have a internal_power group. (LBDB-607) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.005414, 0.005414) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33001, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.005286, 0.005286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002424, 0.002424) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33023, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002286, 0.002286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002354, 0.002354) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33116, Cell 'sky130_fd_sc_hvl__sdlclkp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002230, 0.002230) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002774, 0.002774) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33775, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002369, 0.002369) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002698, 0.002698) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33867, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002517, 0.002517) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.004854, 0.004854) will be assigned to the attribute. (LBDB-1054) +Warning: Line 33959, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004609, 0.004609) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'rise_capacitance_range' specified. The value (0.002685, 0.002685) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34051, Cell 'sky130_fd_sc_hvl__sdlxtp_1', pin 'GATE', The port 'GATE' does not have the attribute 'fall_capacitance_range' specified. The value (0.002669, 0.002669) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011656, 0.011656) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010777, 0.010777) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34256, Cell 'sky130_fd_sc_hvl__probe_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.011678, 0.011678) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.010801, 0.010801) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34406, Cell 'sky130_fd_sc_hvl__probec_p_8', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.007679, 0.007679) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34663, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'RESET_B', The port 'RESET_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.007674, 0.007674) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'rise_capacitance_range' specified. The value (0.002586, 0.002586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34735, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'CLK', The port 'CLK' does not have the attribute 'fall_capacitance_range' specified. The value (0.002527, 0.002527) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'rise_capacitance_range' specified. The value (0.002967, 0.002967) will be assigned to the attribute. (LBDB-1054) +Warning: Line 34757, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'D', The port 'D' does not have the attribute 'fall_capacitance_range' specified. The value (0.002708, 0.002708) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'rise_capacitance_range' specified. The value (0.002524, 0.002524) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35013, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCD', The port 'SCD' does not have the attribute 'fall_capacitance_range' specified. The value (0.002450, 0.002450) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'rise_capacitance_range' specified. The value (0.005448, 0.005448) will be assigned to the attribute. (LBDB-1054) +Warning: Line 35106, Cell 'sky130_fd_sc_hvl__sdfrtp2_1', pin 'SCE', The port 'SCE' does not have the attribute 'fall_capacitance_range' specified. The value (0.004932, 0.004932) will be assigned to the attribute. (LBDB-1054) +Warning: Line 6224, Cell 'sky130_fd_sc_hvl__decap_4', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_4'. (LIBG-10) +Warning: Line 6245, Cell 'sky130_fd_sc_hvl__decap_8', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__decap_8'. (LIBG-10) +Warning: Line 6446, Cell 'sky130_fd_sc_hvl__diode_2', Failed to recognize the functionality of cell 'sky130_fd_sc_hvl__diode_2'. (LIBG-10) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__dlclkp_1' is 'latch_posedge'. (LIBG-265) +Warning: Line 26485, Cell 'sky130_fd_sc_hvl__dlclkp_1', Cell(sky130_fd_sc_hvl__dlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Information: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Derived ICG type for cell 'sky130_fd_sc_hvl__sdlclkp_1' is 'latch_posedge_precontrol'. (LIBG-265) +Warning: Line 32826, Cell 'sky130_fd_sc_hvl__sdlclkp_1', Cell(sky130_fd_sc_hvl__sdlclkp_1): The function cannot be recognized + during functional optimization by Design Compiler. (LIBG-205) +Information: Line 33232, Cell 'sky130_fd_sc_hvl__sdlxtp_1', Complex function sequential cell will skip + sequential cell timing consistency check. (LIBG-275) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' ... +Warning: Line 26, No default 'normalized_driver_waveform" group defined in 'library'. (LBDB-788) +Warning: Line 26, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002638, 0.002638) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002487, 0.002487) will be assigned to the attribute. (LBDB-1054) +Warning: Line 336, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_hl_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.003098, 0.003098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002878, 0.002878) will be assigned to the attribute. (LBDB-1054) +Warning: Line 496, Cell 'sky130_fd_sc_hvl__lsbufhv2hv_lh_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002098, 0.002098) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001995, 0.001995) will be assigned to the attribute. (LBDB-1054) +Warning: Line 680, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.225209' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.201525' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.146980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.026721' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.391704' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.365939' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.307410' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.184832' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.566240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.539411' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.479823' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.352481' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.052758' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.784736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.755736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.691661' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.562689' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.261020' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.934375' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.904735' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.839428' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.708181' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.404529' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.318747' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.286158' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.216248' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.078129' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.773060' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.718476' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.683188' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.607975' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.463622' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-1.156201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 802, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'X', The 'values' attribute has a '-0.341636' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002345, 0.002345) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002146, 0.002146) will be assigned to the attribute. (LBDB-1054) +Warning: Line 863, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_simple_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002583, 0.002583) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002403, 0.002403) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1035, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002626, 0.002626) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002451, 0.002451) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1218, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1', pin 'A', The pin 'A' does not have a internal_power group. (LBDB-607) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.049593' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.033549' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.387148' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.370600' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.332328' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.243748' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.017454' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.572442' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.555684' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.516856' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.427263' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.201147' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.762012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.744896' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.705123' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.614912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1440, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'X', The 'values' attribute has a '-0.388999' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.002758, 0.002758) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1489, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.002588, 0.002588) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.020209, 0.020209) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1511, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.020523, 0.020523) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.005050, 0.005050) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1785, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.004737, 0.004737) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.002860, 0.002860) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1807, Cell 'sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.002719, 0.002719) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.086021' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.058984' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.001293' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.244441' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.216012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.155736' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.037036' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.412861' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.383811' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.320781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 1965, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'X', The 'values' attribute has a '-0.200201' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'rise_capacitance_range' specified. The value (0.001899, 0.001899) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2079, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'A', The port 'A' does not have the attribute 'fall_capacitance_range' specified. The value (0.001837, 0.001837) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.001984, 0.001984) will be assigned to the attribute. (LBDB-1054) +Warning: Line 2102, Cell 'sky130_fd_sc_hvl__lsbufhv2lv_inputiso_1', pin 'SLEEP_B', The port 'SLEEP_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.001820, 0.001820) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_sc_hvl__tt_3.3v_lowhv_3.3v_lv_1.8v_25C' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 89, The 'GENERAL_CORE_VOLTAGE' output_voltage group is not used by any output pin in the library. (LBDB-611) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.018729, 0.018729) will be assigned to the attribute. (LBDB-1054) +Warning: Line 181, Cell 'sky130_fd_io__top_xres4v2', pin 'DISABLE_PULLUP_H', The port 'DISABLE_PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017917, 0.017917) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.024898, 0.024898) will be assigned to the attribute. (LBDB-1054) +Warning: Line 202, Cell 'sky130_fd_io__top_xres4v2', pin 'INP_SEL_H', The port 'INP_SEL_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.024519, 0.024519) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.032961, 0.032961) will be assigned to the attribute. (LBDB-1054) +Warning: Line 223, Cell 'sky130_fd_io__top_xres4v2', pin 'EN_VDDIO_SIG_H', The port 'EN_VDDIO_SIG_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.031456, 0.031456) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.017493, 0.017493) will be assigned to the attribute. (LBDB-1054) +Warning: Line 244, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.017367, 0.017367) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028834, 0.028834) will be assigned to the attribute. (LBDB-1054) +Warning: Line 272, Cell 'sky130_fd_io__top_xres4v2', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028032, 0.028032) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.009441, 0.009441) will be assigned to the attribute. (LBDB-1054) +Warning: Line 300, Cell 'sky130_fd_io__top_xres4v2', pin 'FILT_IN_H', The port 'FILT_IN_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.009463, 0.009463) will be assigned to the attribute. (LBDB-1054) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The timing arc from 'PAD' to 'XRES_H_N' is missing possible condition: "ENABLE_H ENABLE_VDDIO' + ENABLE_H' ENABLE_VDDIO + INP_SEL_H". (LBDB-1212) +Warning: Line 321, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', There is an extra timing arc between 'FILT_IN_H' and + 'XRES_H_N' pins in the 'sky130_fd_io__top_xres4v2' cell. (LBDB-75) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 465, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 516, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 618, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 669, Cell 'sky130_fd_io__top_xres4v2', pin 'XRES_H_N', The 'when' attribute (!INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H) uses pins + that cannot be found in function attribute (PAD). (LIBG-41) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.930286, 0.930286) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The port 'PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.934543, 0.934543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 717, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.109474, 0.109474) will be assigned to the attribute. (LBDB-1054) +Warning: Line 740, Cell 'sky130_fd_io__top_xres4v2', pin 'PULLUP_H', The port 'PULLUP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.136220, 0.136220) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.091868, 0.091868) will be assigned to the attribute. (LBDB-1054) +Warning: Line 762, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_WEAK_HI_H', The port 'TIE_WEAK_HI_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.171782, 0.171782) will be assigned to the attribute. (LBDB-1054) +Warning: Line 784, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 793, Cell 'sky130_fd_io__top_xres4v2', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'rise_capacitance_range' specified. The value (1.781897, 1.781897) will be assigned to the attribute. (LBDB-1054) +Warning: Line 802, Cell 'sky130_fd_io__top_xres4v2', pin 'PAD_A_ESD_H', The port 'PAD_A_ESD_H' does not have the attribute 'fall_capacitance_range' specified. The value (2.416589, 2.416589) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.050119, 0.050119) will be assigned to the attribute. (LBDB-1054) +Warning: Line 824, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.050121, 0.050121) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.045921, 0.045921) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_fd_io__top_xres4v2', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.045944, 0.045944) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' ... +Warning: Line 18, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. + No default can be applied to this attribute. (LBDB-366) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006624, 0.006624) will be assigned to the attribute. (LBDB-1054) +Warning: Line 230, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[2]', The port 'DM[2]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006255, 0.006255) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'rise_capacitance_range' specified. The value (0.004835, 0.004835) will be assigned to the attribute. (LBDB-1054) +Warning: Line 319, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[1]', The port 'DM[1]' does not have the attribute 'fall_capacitance_range' specified. The value (0.004514, 0.004514) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'rise_capacitance_range' specified. The value (0.006515, 0.006515) will be assigned to the attribute. (LBDB-1054) +Warning: Line 408, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'DM[0]', The port 'DM[0]' does not have the attribute 'fall_capacitance_range' specified. The value (0.006147, 0.006147) will be assigned to the attribute. (LBDB-1054) +Warning: Line 498, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_NOESD_H', The pin 'PAD_A_NOESD_H' does not have a internal_power group. (LBDB-607) +Warning: Line 504, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_1_H', The pin 'PAD_A_ESD_1_H' does not have a internal_power group. (LBDB-607) +Warning: Line 510, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD_A_ESD_0_H', The pin 'PAD_A_ESD_0_H' does not have a internal_power group. (LBDB-607) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.028245, 0.028245) will be assigned to the attribute. (LBDB-1054) +Warning: Line 556, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VSWITCH_H', The port 'ENABLE_VSWITCH_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.028236, 0.028236) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'rise_capacitance_range' specified. The value (0.033150, 0.033150) will be assigned to the attribute. (LBDB-1054) +Warning: Line 577, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_EN', The port 'ANALOG_EN' does not have the attribute 'fall_capacitance_range' specified. The value (0.032418, 0.032418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'rise_capacitance_range' specified. The value (0.052525, 0.052525) will be assigned to the attribute. (LBDB-1054) +Warning: Line 598, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDIO', The port 'ENABLE_VDDIO' does not have the attribute 'fall_capacitance_range' specified. The value (0.051413, 0.051413) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.016840, 0.016840) will be assigned to the attribute. (LBDB-1054) +Warning: Line 626, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_SEL', The port 'ANALOG_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.016681, 0.016681) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'rise_capacitance_range' specified. The value (0.037418, 0.037418) will be assigned to the attribute. (LBDB-1054) +Warning: Line 647, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OUT', The port 'OUT' does not have the attribute 'fall_capacitance_range' specified. The value (0.036167, 0.036167) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.012603, 0.012603) will be assigned to the attribute. (LBDB-1054) +Warning: Line 736, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_INP_H', The port 'ENABLE_INP_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.012006, 0.012006) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.006908, 0.006908) will be assigned to the attribute. (LBDB-1054) +Warning: Line 757, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'VTRIP_SEL', The port 'VTRIP_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.006543, 0.006543) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'rise_capacitance_range' specified. The value (0.017763, 0.017763) will be assigned to the attribute. (LBDB-1054) +Warning: Line 846, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ANALOG_POL', The port 'ANALOG_POL' does not have the attribute 'fall_capacitance_range' specified. The value (0.017592, 0.017592) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'rise_capacitance_range' specified. The value (0.005502, 0.005502) will be assigned to the attribute. (LBDB-1054) +Warning: Line 867, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IB_MODE_SEL', The port 'IB_MODE_SEL' does not have the attribute 'fall_capacitance_range' specified. The value (0.005179, 0.005179) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.005650, 0.005650) will be assigned to the attribute. (LBDB-1054) +Warning: Line 956, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_H_N', The port 'HLD_H_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.005053, 0.005053) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'rise_capacitance_range' specified. The value (0.025205, 0.025205) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The port 'OE_N' does not have the attribute 'fall_capacitance_range' specified. The value (0.024019, 0.024019) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1346, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'OE_N', The pin 'OE_N' does not have a internal_power group. (LBDB-607) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'rise_capacitance_range' specified. The value (0.006821, 0.006821) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1425, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'INP_DIS', The port 'INP_DIS' does not have the attribute 'fall_capacitance_range' specified. The value (0.006458, 0.006458) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.019112, 0.019112) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1514, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_H', The port 'ENABLE_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.017265, 0.017265) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'rise_capacitance_range' specified. The value (0.033093, 0.033093) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'ENABLE_VDDA_H', The port 'ENABLE_VDDA_H' does not have the attribute 'fall_capacitance_range' specified. The value (0.032927, 0.032927) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'rise_capacitance_range' specified. The value (0.007059, 0.007059) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1563, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'HLD_OVR', The port 'HLD_OVR' does not have the attribute 'fall_capacitance_range' specified. The value (0.006701, 0.006701) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'rise_capacitance_range' specified. The value (0.014241, 0.014241) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1652, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'SLOW', The port 'SLOW' does not have the attribute 'fall_capacitance_range' specified. The value (0.013990, 0.013990) will be assigned to the attribute. (LBDB-1054) +Warning: Line 1862, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 1935, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2006, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.042757' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.032270' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.012360' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.439434' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.429079' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.404242' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.355781' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.245215' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.043051' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.030795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-1.010942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.959405' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.849240' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.598452' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-0.029980' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131545' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.131140' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.101233' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-11.048019' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.948344' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.686680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-10.128700' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.333830' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323414' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.323412' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.249725' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-18.155670' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.889290' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-17.351507' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778522' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778519' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.778515' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.749252' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.644386' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-51.354912' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-50.822262' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.218994' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.208313' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.185562' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-185.149628' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.992172' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.805222' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2022, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN', The 'values' attribute has a '-184.237244' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2247, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (IB_MODE_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2320, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.374786' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.361370' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.325778' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.236634' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.020012' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.978591' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.965514' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.929597' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.840463' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.627314' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-0.060942' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.066889' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.064111' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-11.017964' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.940091' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.722996' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-10.160610' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-8.541795' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269304' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.269302' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.219913' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-18.131351' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.948118' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-17.368265' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-15.748919' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.775383' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.759960' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.701820' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.635288' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-51.408398' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-50.855423' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-49.236000' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.155136' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109695' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.109680' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-185.016846' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.835297' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-184.205658' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2336, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'values' attribute has a '-182.585785' value, + which is less than '0.000000' the minimum recommended value of this attribute. (LBDB-272) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2391, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'IN_H', The 'when' attribute (!IB_MODE_SEL & !VTRIP_SEL) uses pins + that cannot be found in function attribute (PAD&!INP_DIS). (LIBG-41) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OE_N' to 'PAD' is missing possible condition: "DM[1]' DM[2]'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The timing arc from 'OUT' to 'PAD' is missing possible condition: "DM[1]' DM[2]' OE_N'". (LBDB-1212) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', There is an extra timing arc between 'OUT' and + 'PAD' pins in the 'sky130_ef_io__gpiov2_pad_wrapped' cell. (LBDB-75) +Warning: Line 2508, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3199, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3220, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3271, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3306, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3357, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3392, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3421, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3442, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3471, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3522, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3573, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3608, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3637, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3658, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3709, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3738, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3759, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3810, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3831, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3866, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3917, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3952, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 3981, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4016, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4051, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4080, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4101, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4152, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4203, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4238, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4259, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & !DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4288, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4309, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4360, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4411, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4462, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4513, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & !DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4542, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (DM[2] & DM[1] & DM[0] & !SLOW) uses pins + that cannot be found in three_state attribute (OE_N). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4593, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4644, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'PAD', The 'when' attribute (!OE_N & !DM[2] & DM[1] & !DM[0] & SLOW) uses pins + that cannot be found in function attribute (OUT). (LIBG-41) +Warning: Line 4702, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_LO_ESD', The pin 'TIE_LO_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.149251, 0.149251) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4711, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.147827, 0.147827) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4733, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'TIE_HI_ESD', The pin 'TIE_HI_ESD' does not have a internal_power group. (LBDB-607) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.142339, 0.142339) will be assigned to the attribute. (LBDB-1054) +Warning: Line 4742, Cell 'sky130_ef_io__gpiov2_pad_wrapped', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.140989, 0.140989) will be assigned to the attribute. (LBDB-1054) +Technology library 'sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 162, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 170, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 179, Cell 'sky130_ef_io__vccd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The pin 'VDDA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'VDDA_PAD', The 'VDDA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vdda_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The pin 'VSSA_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 159, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'VSSA_PAD', The 'VSSA_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 168, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 176, Cell 'sky130_ef_io__vssa_hvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 167, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 162. Using the last definition encountered. (LBDB-261w) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 160, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 172, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 182, Cell 'sky130_ef_io__vssd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' ... +Information: Line 59, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 18, Overwrite 'nom_temperature' by default 'temperature' value 25.000000 defined + in 'operating_conditions'. (LBDB-750) +Warning: Line 173, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The pin 'VCCD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 181, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'VCCD_PAD', The 'VCCD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 190, Cell 'sky130_ef_io__vccd_lvc_clamped3_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30' read successfully +Beginning read_lib... +Using exec: /tools/snps/lc/T-2022.03-SP3/linux64/lc/bin/lc_shell_exec +Reading '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' ... +Information: Line 60, The 'always_on' attribute for pin group is official liberty syntax/already defined, this user define syntax is ignored. (LBDB-29) +Warning: Line 156, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The attribute 'always_on' has already been specified for 'pin' at line 151. Using the last definition encountered. (LBDB-261w) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The port 'VSSD_PAD' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The pin 'VSSD_PAD' does not have a internal_power group. (LBDB-607) +Warning: Line 149, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'VSSD_PAD', The 'VSSD_PAD' pad pin is missing a 'drive_current' attribute. (LBDB-205) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The port 'AMUXBUS_A' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 161, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_A', The pin 'AMUXBUS_A' does not have a internal_power group. (LBDB-607) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'rise_capacitance_range' specified. The value (0.069348, 0.069348) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The port 'AMUXBUS_B' does not have the attribute 'fall_capacitance_range' specified. The value (0.071586, 0.071586) will be assigned to the attribute. (LBDB-1054) +Warning: Line 171, Cell 'sky130_ef_io__vssd_lvc_clamped_pad', pin 'AMUXBUS_B', The pin 'AMUXBUS_B' does not have a internal_power group. (LBDB-607) +Technology library 'sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30' read successfully +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM128.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/RAM256.v' +Loading verilog file '/home/passant/caravel_mgmt_soc_litex/verilog/gl/mgmt_core_wrapper.v' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hd_tt_1.80v_25C.lib' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect.v' +Loading verilog file '/home/passant/caravel/verilog/gl/housekeeping.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravan.v' +Loading verilog file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' +Loading verilog file '/home/passant/caravel/verilog/gl/caravel_clocking.v' +Loading verilog file '/home/passant/caravel/verilog/gl/digital_pll.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io.v' +Loading verilog file '/home/passant/caravel/verilog/gl/chip_io_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_control_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_signal_buffering_alt.v' +Loading verilog file '/home/passant/caravel/verilog/gl/spare_logic_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/user_id_programming.v' +Loading verilog file '/home/passant/caravel/verilog/gl/buff_flash_clkrst.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_1803.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0403.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block_0801.v' +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mprj2_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v' +Error: Expected ',' or ')' but found 'U' + at line 29 in '/home/passant/caravel/verilog/gl/__user_analog_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/__user_project_wrapper.v' +Error: Expected '(' but found '#' + at line 32 in '/home/passant/caravel/verilog/gl/__user_project_wrapper.v'. (SVR-4) +Loading verilog file '/home/passant/caravel/verilog/gl/gpio_logic_high.v' +Loading verilog file '/home/passant/caravel/verilog/gl/xres_buf.v' +Loading verilog file '/home/passant/caravel/verilog/gl/constant_block.v' +Loading verilog file '/home/passant/caravel/verilog/gl/mgmt_protect_hv.v' +Loading db file '/tmp/_pt1reXkMBu/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_25C.lib' +Loading db file '/tmp/_pt1rGRayrq/1.db' +Loading db file '/home/passant/caravel/scripts/pt_libs/scs130hvl_tt_3.3v_lowhv_3.3v_lv_1.8v_25C.lib' +Loading db file '/tmp/_pt1rekkcTB/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1r84AG1S/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rUkAj7d/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1riSp5GD/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1re9x6m7/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1rwYHENE/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rILmWZf/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib' +Loading db file '/tmp/_pt1ryZBJXU/1.db' +Loading db file '/home/passant/OpenLane/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib' +Loading db file '/tmp/_pt1rck5GGD/1.db' +Linking design caravan... +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'simple_por' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'user_analog_project_wrapper' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__analog_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_4' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_8' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__corner_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__top_power_hvc' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vssio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_io__vddio_hvc_clamped_pad' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hvl__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_2' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__fill_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_fd_sc_hd__tapvpwrvgnd_1' in 'caravan'. (LNK-005) +Warning: Unable to resolve reference to 'sky130_ef_sc_hd__decap_12' in 'caravan'. (LNK-005) +Information: Creating black box for mprj/user_analog_project_wrapper... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[1]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad_with_clamp/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad_with_clamp[0]/sky130_ef_io__top_power_hvc... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[1]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[2]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user1_analog_pad[3]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/user2_analog_pad[0]/sky130_ef_io__analog_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[0]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[0]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vssio_hvclamp_pad[1]/sky130_ef_io__vssio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_vddio_hvclamp_pad[1]/sky130_ef_io__vddio_hvc_clamped_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[1]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user2_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/mgmt_corner[0]/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for padframe/user1_corner/sky130_ef_io__corner_pad... (LNK-043) +Information: Creating black box for por/simple_por... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_25/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_4_60/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_80/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_89/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_15_71/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_5_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_85/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_3_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_95/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_17_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_13_99/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_1_11/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_2_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_0_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/gpio_logic_high/FILLER_4_7/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_1_34/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_0_31/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_control_in_2[8]/FILLER_12_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_3_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_29/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_3/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_8_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_1_44/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_7_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_2_62/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_42/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_11_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_3/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_4_57/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_10_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for spare_logic[0]/FILLER_9_69/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_9/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_29/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_2_27/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_43/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_61/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_48/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_33/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_38/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_1_55/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for gpio_defaults_block_26/FILLER_0_60/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_23_197/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_52_679/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_120_433/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_107_225/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_363/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_146_365/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_191_319/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_179_20/sky130_fd_sc_hd__fill_1... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_726/sky130_fd_sc_hd__fill_2... (LNK-043) +Information: Creating black box for housekeeping/FILLER_39_472/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_84_421/sky130_ef_sc_hd__decap_12... (LNK-043) +Information: Creating black box for housekeeping/FILLER_63_729/sky130_ef_sc_hd__decap_12... (LNK-043) +Removed 245499 unconnected cells and blackboxes. +Information: Removing 28 unneeded designs..... (LNK-034) +Information: Module 'caravel' in file '/home/passant/caravel/verilog/gl/caravel.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_defaults_block' in file '/home/passant/caravel/verilog/gl/gpio_defaults_block.v' is not used in the current design. (LNK-039) +Information: Module 'gpio_signal_buffering' in file '/home/passant/caravel/verilog/gl/gpio_signal_buffering.v' is not used in the current design. (LNK-039) +Information: Module 'chip_io' in file '/home/passant/caravel/verilog/gl/chip_io.v' is not used in the current design. (LNK-039) +Information: Module 'user_proj_example' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Information: Module 'user_project_wrapper' in file '/home/passant/caravel_user_project/verilog/gl/user_project_wrapper.v' is not used in the current design. (LNK-039) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Design 'caravan' was successfully linked. +Information: There are 119156 leaf cells, ports, hiers and 69320 nets in the design (LNK-047) + +Reading SDC version 2.1... +Warning: Creating a clock on internal pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/mgmt_gpio_in[4]'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_clock'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_clock'. (UITE-137) +Warning: Creating a clock on internal pin 'housekeeping/serial_load'. (UITE-130) +Warning: Creating 'clock' on a hierarchical pin 'housekeeping/serial_load'. (UITE-137) +[INFO]: Setting output delay to: 4 +[INFO]: Setting input delay to: 4 +[INFO]: Cap load range: 5 : 10 +[INFO]: Input transition range: 1 : 4 +[INFO]: Setting derate factor to: 3.75 % +1 +[INFO]: Setting maximum transition of HD cells in slow process corner to: 1.5 +For HD cells in the hierarchy of caravan: +Information: Checked out license 'PrimeTime-SI' (PT-019) +Information: Log for 'read_parasitics command' will be generated in 'parasitics_command.log'. (PARA-107) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_14' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_15' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_16' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_17' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_18' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_20' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_19' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_21' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_22' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_23' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_defaults_block_24' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[11]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[12]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[6]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[13]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[15]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[7]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[9]' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[10]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'mprj/mprj' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_2[14]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Warning: No cell objects matched 'gpio_control_in_1[8]/gpio_logic_high' (SEL-004) +Error: Nothing matched for collection (SEL-005) +Error: All instance paths in the -path option should correspond to valid and same sub-design. (PARA-097) +Information: Setting rc_cache_min_max_rise_fall_ceff to TRUE. (XTALK-015) +Information: Building multi voltage information for entire design. (MV-022) +Warning: Some timing arcs have been disabled for breaking timing loops or because of constant propagation. Use the 'report_disable_timing' command to get the list of these disabled timing arcs. (PTE-003) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[0], setting resolved logic value 0 on pin padframe/flash_io1_pad/DM[0]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[1], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[1]. (PTE-042) +Warning: Conflicted logic driving pin padframe/flash_io1_pad/DM[2], setting resolved logic value 1 on pin padframe/flash_io1_pad/DM[2]. (PTE-042) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/A0 and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3927_/S and housekeeping/_3927_/A1 of cell housekeeping/_3927_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/A2 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3942_/B1 and housekeeping/_3942_/B2 of cell housekeeping/_3942_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/A2 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_065_/B1 and gpio_control_in_1[1]/_065_/A1 of cell gpio_control_in_1[1]/_065_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/A1 and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_205_/S and clock_ctrl/_205_/A0 of cell clock_ctrl/_205_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/A0 and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins housekeeping/_3945_/S and housekeeping/_3945_/A1 of cell housekeeping/_3945_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/A1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock hkspi_clk at pins gpio_control_in_1[1]/_066_/B1 and gpio_control_in_1[1]/_066_/A2 of cell gpio_control_in_1[1]/_066_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/A1 and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Warning: No clock-gating check is inferred for clock clk at pins clock_ctrl/_206_/S and clock_ctrl/_206_/A0 of cell clock_ctrl/_206_. (PTE-060) +Information: Inferring 1 clock-gating checks. (PTE-017) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1676/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1680/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1687/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1689/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1693/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1797/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1854/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1829/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1712/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1455/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1628/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1641/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1641/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1653/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1467/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1467/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1655/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1655/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1657/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1657/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1256/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1300/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1300/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input215/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1384/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1384/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1385/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1385/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1387/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1387/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1299/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1299/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1378/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/load_slew1378/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1163/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1163/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1107/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout1107/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout958/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout958/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout939/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/fanout939/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: Starting crosstalk aware timing iteration 1. (XTALK-001) +Information: Starting crosstalk aware timing iteration 2. (XTALK-001) +Information: Number of nets evaluated in the previous iteration: 59894. (XTALK-105) +**************************************** +Report : write_sdf /home/passant/caravel/signoff/caravan/primetime-signoff/sdf/nom/caravan.tt.sdf +Design : caravan +Version: T-2022.03-SP3 +Date : Sun Oct 30 07:16:23 2022 +**************************************** + +Warning: Clock 'hkspi_clk'has source on hierachical pin 'housekeeping/mgmt_gpio_in[4]'. Consider moving to: gpio_control_in_1a[2]/output6/X + (MEXT-20) +Warning: Clock 'hk_serial_clk'has source on hierachical pin 'housekeeping/serial_clock'. Consider moving to: housekeeping/output303/X + (MEXT-20) +Warning: Clock 'hk_serial_load'has source on hierachical pin 'housekeeping/serial_load'. Consider moving to: housekeeping/output306/X + (MEXT-20) +Warning: Variable si_filter_keep_all_port_aggressors is set to FALSE (MEXT-80) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/_32602_/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/input213/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (min rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Warning: The drive-resistance for the timing arc (sky130_fd_sc_hd__tt_1.80v_25C/sky130_fd_sc_hd__buf_12) soc/wire1964/A-->X (max rising & falling positive_unate) is much less than the network impedance to ground; PrimeTime has adjusted the drive-resistance to improve accuracy. (RC-009) +Information: For model extraction, min_period and min_pulse_width arcs are extracted at the context slew. (MEXT-105) +Information: Elapsed time for model extraction: 4 seconds (MEXT-096) +Wrote the LIB file /home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.tt.lib +Wrote model to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.tt_lib.db' +Wrote test design to '/home/passant/caravel/signoff/caravan/primetime-signoff/lib/nom/caravan.tt_test.db' +Information: Elapsed time for lib file writing: 1 seconds (MEXT-096) +Information: Defining new variable 'verilog'. (CMD-041) +Information: Defining new variable 'max_tran'. (CMD-041) +Suppressed Messages Summary: +Id Severity Occurrences Suppressed +------------------------------------------------------------------------------- +PARA-006 Error 684 584 +DB-1 Error 12 12 +PARA-040 Warning 321678 320929 +LNK-043 Information 190190 190090 +SVR-2 Information 2 2 +Total 5 types of messages are suppressed +Maximum memory usage for this session: 2802.90 MB +CPU usage for this session: 213 seconds +Elapsed time for this session: 73 seconds +Diagnostics summary: 69 errors, 221 warnings, 124 informationals + +Thank you for using pt_shell! +STA run Passed! +There are max_transition and max_capacitance violations. check report: /home/passant/caravel/signoff/caravan/primetime-signoff/reports/nom/caravan.tt-all_viol.rpt \ No newline at end of file